From 9ed2391fa7a48fa4f69db1cbd2e41b8fbcb040b4 Mon Sep 17 00:00:00 2001 From: John Tsiombikas Date: Mon, 18 Jul 2022 23:50:37 +0300 Subject: [PATCH] first board revision completed --- hw/fp-lib-table | 3 + hw/sgikbd.kicad_pro | 162 ++- hw/sgikbd.kicad_sch | 2350 +++++++++++++++++++++-------------- hw/sgikbd.kicad_sym | 86 +- hw/sgikbd.pretty/minidin6.kicad_mod | 27 + 5 files changed, 1679 insertions(+), 949 deletions(-) create mode 100644 hw/fp-lib-table create mode 100644 hw/sgikbd.pretty/minidin6.kicad_mod diff --git a/hw/fp-lib-table b/hw/fp-lib-table new file mode 100644 index 0000000..6dfe731 --- /dev/null +++ b/hw/fp-lib-table @@ -0,0 +1,3 @@ +(fp_lib_table + (lib (name "sgikbd")(type "KiCad")(uri "${KIPRJMOD}/sgikbd.pretty")(options "")(descr "")) +) diff --git a/hw/sgikbd.kicad_pro b/hw/sgikbd.kicad_pro index b6fdbee..59b2099 100644 --- a/hw/sgikbd.kicad_pro +++ b/hw/sgikbd.kicad_pro @@ -2,25 +2,147 @@ "board": { "design_settings": { "defaults": { - "board_outline_line_width": 0.1, - "copper_line_width": 0.2, + "board_outline_line_width": 0.09999999999999999, + "copper_line_width": 0.19999999999999998, + "copper_text_italic": false, "copper_text_size_h": 1.5, "copper_text_size_v": 1.5, "copper_text_thickness": 0.3, + "copper_text_upright": false, + "courtyard_line_width": 0.049999999999999996, + "dimension_precision": 4, + "dimension_units": 3, + "dimensions": { + "arrow_length": 1270000, + "extension_offset": 500000, + "keep_text_aligned": true, + "suppress_zeroes": false, + "text_position": 0, + "units_format": 1 + }, + "fab_line_width": 0.09999999999999999, + "fab_text_italic": false, + "fab_text_size_h": 1.0, + "fab_text_size_v": 1.0, + "fab_text_thickness": 0.15, + "fab_text_upright": false, "other_line_width": 0.15, + "other_text_italic": false, + "other_text_size_h": 1.0, + "other_text_size_v": 1.0, + "other_text_thickness": 0.15, + "other_text_upright": false, + "pads": { + "drill": 0.762, + "height": 1.524, + "width": 1.524 + }, "silk_line_width": 0.15, + "silk_text_italic": false, "silk_text_size_h": 1.0, "silk_text_size_v": 1.0, - "silk_text_thickness": 0.15 + "silk_text_thickness": 0.15, + "silk_text_upright": false, + "zones": { + "45_degree_only": false, + "min_clearance": 0.508 + } + }, + "diff_pair_dimensions": [ + { + "gap": 0.0, + "via_gap": 0.0, + "width": 0.0 + } + ], + "drc_exclusions": [ + "silk_over_copper|104481981|106981981|5bccb388-299b-4643-bf57-5dc2ce7ca26d|2df5c496-17e7-45e2-8336-fa61eecd7958", + "silk_over_copper|104481981|72981981|0eeb9199-03ed-42a4-b712-bdcaf9bc0e08|ea569363-d39e-43f2-a253-37b2bc04a6a5", + "silk_over_copper|104481981|86981981|0eeb9199-03ed-42a4-b712-bdcaf9bc0e08|9ba7b580-dbbe-48b4-9f32-6481ed5a2dff", + "silk_over_copper|104481981|92981981|5bccb388-299b-4643-bf57-5dc2ce7ca26d|f20a9fb1-75b8-4004-9fab-0c6470c2779b", + "silk_over_copper|131301981|119981981|097883c0-ef86-40e4-8ed4-94fdaaa19b1f|9da295a1-d454-460d-b93b-a2837f8a87b6", + "silk_over_copper|139736981|59981981|c78754be-e20c-4028-bf5e-a7c3f093e33e|725c1082-9165-4855-82e6-7d28b0e7e168", + "silk_over_copper|170621981|119981981|097883c0-ef86-40e4-8ed4-94fdaaa19b1f|89990f96-3bbc-413c-84cf-32bd23f25943", + "silk_over_copper|170706981|59981981|c78754be-e20c-4028-bf5e-a7c3f093e33e|74722585-a959-47ea-af16-5b84f2e37f3c", + "silk_over_copper|175386981|82981981|e40fa3ec-89a3-42b1-ad76-f1d24ba780fa|f2ac2996-27d9-4c7e-ad04-88683e4cd140", + "silk_over_copper|175386981|96981981|e40fa3ec-89a3-42b1-ad76-f1d24ba780fa|7082b417-e871-4493-b338-b08d9bd0f5f5", + "silk_over_copper|179981981|96981981|1e6cb594-9d70-4927-b7fb-a6ac2141f0ed|e40fa3ec-89a3-42b1-ad76-f1d24ba780fa", + "silk_over_copper|99981981|72981981|3c40d83c-ca1d-4874-920c-ecd81fe10fe6|0eeb9199-03ed-42a4-b712-bdcaf9bc0e08", + "silk_over_copper|99981981|92981981|3c40d83c-ca1d-4874-920c-ecd81fe10fe6|5bccb388-299b-4643-bf57-5dc2ce7ca26d" + ], + "meta": { + "version": 2 + }, + "rule_severities": { + "annular_width": "error", + "clearance": "error", + "copper_edge_clearance": "error", + "courtyards_overlap": "error", + "diff_pair_gap_out_of_range": "error", + "diff_pair_uncoupled_length_too_long": "error", + "drill_out_of_range": "error", + "duplicate_footprints": "warning", + "extra_footprint": "warning", + "footprint_type_mismatch": "error", + "hole_clearance": "error", + "hole_near_hole": "error", + "invalid_outline": "error", + "item_on_disabled_layer": "error", + "items_not_allowed": "error", + "length_out_of_range": "error", + "malformed_courtyard": "error", + "microvia_drill_out_of_range": "error", + "missing_courtyard": "ignore", + "missing_footprint": "warning", + "net_conflict": "warning", + "npth_inside_courtyard": "ignore", + "padstack": "error", + "pth_inside_courtyard": "ignore", + "shorting_items": "error", + "silk_over_copper": "warning", + "silk_overlap": "warning", + "skew_out_of_range": "error", + "through_hole_pad_without_hole": "error", + "too_many_vias": "error", + "track_dangling": "warning", + "track_width": "error", + "tracks_crossing": "error", + "unconnected_items": "error", + "unresolved_variable": "error", + "via_dangling": "warning", + "zone_has_empty_net": "error", + "zones_intersect": "error" }, - "diff_pair_dimensions": [], - "drc_exclusions": [], "rules": { + "allow_blind_buried_vias": false, + "allow_microvias": false, + "max_error": 0.005, + "min_clearance": 0.0, + "min_copper_edge_clearance": 0.01, + "min_hole_clearance": 0.25, + "min_hole_to_hole": 0.25, + "min_microvia_diameter": 0.19999999999999998, + "min_microvia_drill": 0.09999999999999999, + "min_silk_clearance": 0.0, + "min_through_hole_diameter": 0.3, + "min_track_width": 0.19999999999999998, + "min_via_annular_width": 0.049999999999999996, + "min_via_diameter": 0.39999999999999997, "solder_mask_clearance": 0.0, - "solder_mask_min_width": 0.0 + "solder_mask_min_width": 0.0, + "use_height_for_length_calcs": true }, - "track_widths": [], - "via_dimensions": [] + "track_widths": [ + 0.0 + ], + "via_dimensions": [ + { + "diameter": 0.0, + "drill": 0.0 + } + ], + "zones_allow_external_fillets": false, + "zones_use_no_outline": true }, "layer_presets": [] }, @@ -259,6 +381,30 @@ "via_diameter": 0.8, "via_drill": 0.4, "wire_width": 6.0 + }, + { + "bus_width": 12.0, + "clearance": 0.2, + "diff_pair_gap": 0.25, + "diff_pair_via_gap": 0.25, + "diff_pair_width": 0.2, + "line_style": 0, + "microvia_diameter": 0.3, + "microvia_drill": 0.1, + "name": "power", + "nets": [ + "-5V", + "/VBUS+", + "/VBUS-", + "GND", + "VCC" + ], + "pcb_color": "rgba(0, 0, 0, 0.000)", + "schematic_color": "rgba(0, 0, 0, 0.000)", + "track_width": 0.4, + "via_diameter": 1.1, + "via_drill": 0.7, + "wire_width": 6.0 } ], "meta": { diff --git a/hw/sgikbd.kicad_sch b/hw/sgikbd.kicad_sch index 0bb56e8..04fcd26 100644 --- a/hw/sgikbd.kicad_sch +++ b/hw/sgikbd.kicad_sch @@ -6,10 +6,10 @@ (title_block (title "SGI - PS/2 keyboard and mouse converter") - (date "2017-10-11") + (date "2022-07-18") (rev "1") (company "Mutant Stargoat") - (comment 1 "Creative Commons Attribution Share-Alike (CC BY-SA)") + (comment 1 "GNU General Public License v3 (or later).") (comment 2 "Copyright (C) 2017-2022 John Tsiombikas ") ) @@ -177,6 +177,57 @@ ) ) ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "Device:C_Polarized" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) (property "Reference" "C" (id 0) (at 0.635 2.54 0) (effects (font (size 1.27 1.27)) (justify left)) @@ -236,6 +287,177 @@ ) ) ) + (symbol "Device:Crystal" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "Y" (id 0) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Crystal" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "quartz ceramic resonator oscillator" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Two pin crystal" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Crystal*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Crystal_0_1" + (rectangle (start -1.143 2.54) (end 1.143 -2.54) + (stroke (width 0.3048) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -1.905 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.905 -1.27) + (xy -1.905 1.27) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.905 -1.27) + (xy 1.905 1.27) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 2.54 0) + (xy 1.905 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Crystal_1_1" + (pin passive line (at -3.81 0 0) (length 1.27) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 1.27) + (name "2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "R" (id 0) (at 2.032 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "R" (id 1) (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at -1.778 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R res resistor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_0_1" + (rectangle (start -1.016 -2.54) (end 1.016 2.54) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "R_1_1" + (pin passive line (at 0 3.81 270) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 1.27) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Diode:1N4148W" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "1N4148W" (id 1) (at 0 -2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Diode_SMD:D_SOD-123" (id 2) (at 0 -4.445 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.vishay.com/docs/85748/1n4148w.pdf" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "diode" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "75V 0.15A Fast Switching Diode, SOD-123" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "D*SOD?123*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "1N4148W_0_1" + (polyline + (pts + (xy -1.27 1.27) + (xy -1.27 -1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 0) + (xy -1.27 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 1.27 1.27) + (xy 1.27 -1.27) + (xy -1.27 0) + (xy 1.27 1.27) + ) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "1N4148W_1_1" + (pin passive line (at -3.81 0 0) (length 2.54) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 3.81 0 180) (length 2.54) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "MCU_Microchip_ATmega:ATmega328PB-A" (in_bom yes) (on_board yes) (property "Reference" "U" (id 0) (at -12.7 36.83 0) (effects (font (size 1.27 1.27)) (justify left bottom)) @@ -395,6 +617,41 @@ ) ) ) + (symbol "Mechanical:MountingHole_Pad" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "H" (id 0) (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MountingHole_Pad" (id 1) (at 0 4.445 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "mounting hole" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Mounting Hole with connection" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "MountingHole*Pad*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MountingHole_Pad_0_1" + (circle (center 0 1.27) (radius 1.27) + (stroke (width 1.27) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "MountingHole_Pad_1_1" + (pin input line (at 0 -2.54 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) (symbol "Regulator_Linear:L7805" (pin_names (offset 0.254)) (in_bom yes) (on_board yes) (property "Reference" "U" (id 0) (at -3.81 3.175 0) (effects (font (size 1.27 1.27))) @@ -481,148 +738,65 @@ ) ) ) - (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 2.54 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "-5V" (id 1) (at 0 3.81 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "-5V_0_0" - (pin power_in line (at 0 0 90) (length 0) hide - (name "-5V" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - (symbol "-5V_0_1" - (polyline - (pts - (xy 0 0) - (xy 0 1.27) - (xy 0.762 1.27) - (xy 0 2.54) - (xy -0.762 1.27) - (xy 0 1.27) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type outline)) - ) - ) - ) - (symbol "power:VCC" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) - (effects (font (size 1.27 1.27)) hide) + (symbol "Switch:SW_Push" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "SW" (id 0) (at 1.27 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "VCC" (id 1) (at 0 3.81 0) + (property "Value" "SW_Push" (id 1) (at 0 -1.524 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at 0 0 0) + (property "Footprint" "" (id 2) (at 0 5.08 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 0 0) + (property "Datasheet" "~" (id 3) (at 0 5.08 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (property "ki_keywords" "switch normally-open pushbutton push-button" (id 4) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_description" "Power symbol creates a global label with name \"VCC\"" (id 5) (at 0 0 0) + (property "ki_description" "Push button switch, generic, two pins" (id 5) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "VCC_0_1" - (polyline - (pts - (xy -0.762 1.27) - (xy 0 2.54) - ) + (symbol "SW_Push_0_1" + (circle (center -2.032 0) (radius 0.508) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) (polyline (pts - (xy 0 0) - (xy 0 2.54) + (xy 0 1.27) + (xy 0 3.048) ) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) (polyline (pts - (xy 0 2.54) - (xy 0.762 1.27) + (xy 2.54 1.27) + (xy -2.54 1.27) ) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) - ) - (symbol "VCC_1_1" - (pin power_in line (at 0 0 90) (length 0) hide - (name "VCC" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "sgikbd-rescue:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) - (property "Reference" "C" (id 0) (at 0.635 2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "sgikbd-rescue_C" (id 1) (at 0.635 -2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "C_*" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "C_0_1" - (polyline - (pts - (xy -2.032 -0.762) - (xy 2.032 -0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.032 0.762) - (xy 2.032 0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) + (circle (center 2.032 0) (radius 0.508) + (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) - ) - (symbol "C_1_1" - (pin passive line (at 0 3.81 270) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) + (pin passive line (at -5.08 0 0) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin passive line (at 0 -3.81 90) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) + (pin passive line (at 5.08 0 180) (length 2.54) + (name "2" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) ) ) - (symbol "sgikbd-rescue:Crystal" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "Y" (id 0) (at 0 3.81 0) - (effects (font (size 1.27 1.27))) + (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "sgikbd-rescue_Crystal" (id 1) (at 0 -3.81 0) + (property "Value" "-5V" (id 1) (at 0 3.81 0) (effects (font (size 1.27 1.27))) ) (property "Footprint" "" (id 2) (at 0 0 0) @@ -631,63 +805,38 @@ (property "Datasheet" "" (id 3) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "Crystal*" (id 4) (at 0 0 0) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (id 5) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "Crystal_0_1" - (rectangle (start -1.143 2.54) (end 1.143 -2.54) - (stroke (width 0.3048) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -2.54 0) - (xy -1.905 0) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.905 -1.27) - (xy -1.905 1.27) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy 1.905 -1.27) - (xy 1.905 1.27) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) + (symbol "-5V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) ) + ) + (symbol "-5V_0_1" (polyline (pts - (xy 2.54 0) - (xy 1.905 0) + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) ) (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "Crystal_1_1" - (pin passive line (at -3.81 0 0) (length 1.27) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 3.81 0 180) (length 1.27) - (name "2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) + (fill (type outline)) ) ) ) - (symbol "sgikbd-rescue:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "sgikbd-rescue_GND" (id 1) (at 0 -3.81 0) + (property "Value" "GND" (id 1) (at 0 -3.81 0) (effects (font (size 1.27 1.27))) ) (property "Footprint" "" (id 2) (at 0 0 0) @@ -696,6 +845,12 @@ (property "Datasheet" "" (id 3) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) (symbol "GND_0_1" (polyline (pts @@ -717,84 +872,97 @@ ) ) ) - (symbol "sgikbd-rescue:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes) - (property "Reference" "R" (id 0) (at 2.032 0 90) - (effects (font (size 1.27 1.27))) + (symbol "power:VCC" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "sgikbd-rescue_R" (id 1) (at 0 0 90) + (property "Value" "VCC" (id 1) (at 0 3.81 0) (effects (font (size 1.27 1.27))) ) - (property "Footprint" "" (id 2) (at -1.778 0 90) + (property "Footprint" "" (id 2) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "" (id 3) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "ki_fp_filters" "R_* R_*" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "R_0_1" - (rectangle (start -1.016 -2.54) (end 1.016 2.54) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "R_1_1" - (pin passive line (at 0 3.81 270) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 1.27) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) - (symbol "sgikbd-rescue:SW_Push" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) - (property "Reference" "SW" (id 0) (at 1.27 2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "sgikbd-rescue_SW_Push" (id 1) (at 0 -1.524 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 0 5.08 0) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 0 5.08 0) + (property "ki_description" "Power symbol creates a global label with name \"VCC\"" (id 5) (at 0 0 0) (effects (font (size 1.27 1.27)) hide) ) - (symbol "SW_Push_0_1" - (circle (center -2.032 0) (radius 0.508) + (symbol "VCC_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) (polyline (pts - (xy 0 1.27) - (xy 0 3.048) + (xy 0 0) + (xy 0 2.54) ) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) (polyline (pts - (xy 2.54 1.27) - (xy -2.54 1.27) + (xy 0 2.54) + (xy 0.762 1.27) ) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) - (circle (center 2.032 0) (radius 0.508) + ) + (symbol "VCC_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "ps2conn_1" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -6.35 -6.35 0) + (effects (font (size 1.524 1.524))) + ) + (property "Value" "ps2conn_1" (id 1) (at 5.08 0 90) + (effects (font (size 1.524 1.524))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.524 1.524)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "ps2conn_1_0_1" + (rectangle (start -7.62 5.08) (end 3.81 -5.08) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) - (pin passive line (at -5.08 0 0) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) + ) + (symbol "ps2conn_1_1_1" + (pin passive line (at 2.54 -7.62 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 2.54 0) (length 2.54) + (name "DATA" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin passive line (at 5.08 0 180) (length 2.54) - (name "2" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) + (pin passive line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 7.62 270) (length 2.54) + (name "VCC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -10.16 -2.54 0) (length 2.54) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) ) ) ) @@ -802,7 +970,7 @@ (property "Reference" "U" (id 0) (at -6.35 -6.35 0) (effects (font (size 1.524 1.524))) ) - (property "Value" "ps2conn" (id 1) (at 3.81 0 90) + (property "Value" "ps2conn" (id 1) (at 5.08 0 90) (effects (font (size 1.524 1.524))) ) (property "Footprint" "" (id 2) (at 0 0 0) @@ -812,12 +980,16 @@ (effects (font (size 1.524 1.524)) hide) ) (symbol "ps2conn_0_1" - (rectangle (start -7.62 5.08) (end 2.54 -5.08) + (rectangle (start -7.62 5.08) (end 3.81 -5.08) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) ) (symbol "ps2conn_1_1" + (pin passive line (at 2.54 -7.62 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) (pin passive line (at -10.16 2.54 0) (length 2.54) (name "DATA" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) @@ -837,10 +1009,10 @@ ) ) (symbol "sgikbd:sgi-db15" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 0 0) + (property "Reference" "U" (id 0) (at 2.54 10.16 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "sgi-db15" (id 1) (at 3.81 0 90) + (property "Value" "sgi-db15" (id 1) (at 6.35 0 90) (effects (font (size 1.27 1.27))) ) (property "Footprint" "Connector_Dsub:DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 1.27 -21.59 0) @@ -856,61 +1028,65 @@ ) ) (symbol "sgi-db15_1_1" - (pin power_in line (at 0 -11.43 90) (length 2.54) + (pin passive line (at 2.54 -11.43 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -11.43 90) (length 2.54) (name "GND" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 -2.54 0) (length 2.54) + (pin passive line (at -7.62 -2.54 0) (length 2.54) (name "MRXD" (effects (font (size 1.27 1.27)))) (number "10" (effects (font (size 1.27 1.27)))) ) - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin no_connect line (at -2.54 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "11" (effects (font (size 1.27 1.27)))) ) - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin no_connect line (at -3.81 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "12" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 1.27 11.43 270) (length 2.54) + (pin passive line (at 1.27 11.43 270) (length 2.54) (name "-12V" (effects (font (size 1.27 1.27)))) (number "15" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) hide + (pin passive line (at 0 -11.43 90) (length 2.54) hide (name "GND" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) hide + (pin passive line (at 0 -11.43 90) (length 2.54) hide (name "GND" (effects (font (size 1.27 1.27)))) (number "3" (effects (font (size 1.27 1.27)))) ) - (pin input line (at -7.62 0 0) (length 2.54) + (pin passive line (at -7.62 0 0) (length 2.54) (name "KBTXD" (effects (font (size 1.27 1.27)))) (number "4" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 2.54 0) (length 2.54) + (pin passive line (at -7.62 2.54 0) (length 2.54) (name "KBRXD" (effects (font (size 1.27 1.27)))) (number "5" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) + (pin passive line (at -1.27 11.43 270) (length 2.54) (name "+12V" (effects (font (size 1.27 1.27)))) (number "7" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) hide + (pin passive line (at -1.27 11.43 270) (length 2.54) hide (name "+12V" (effects (font (size 1.27 1.27)))) (number "8" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) hide + (pin passive line (at -1.27 11.43 270) (length 2.54) hide (name "+12V" (effects (font (size 1.27 1.27)))) (number "9" (effects (font (size 1.27 1.27)))) ) ) ) (symbol "sgikbd:sgi-db9" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 1.27 -10.16 0) + (property "Reference" "U" (id 0) (at -5.08 -10.16 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "sgi-db9" (id 1) (at 3.81 0 90) + (property "Value" "sgi-db9" (id 1) (at 6.35 0 90) (effects (font (size 1.27 1.27))) ) (property "Footprint" "Connector_Dsub:DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 0 -21.59 0) @@ -926,49 +1102,53 @@ ) ) (symbol "sgi-db9_1_1" - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin passive line (at 2.54 -11.43 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -3.81 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 2.54 0) (length 2.54) + (pin passive line (at -7.62 2.54 0) (length 2.54) (name "KBRXD" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin no_connect line (at -2.54 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "3" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 1.27 11.43 270) (length 2.54) + (pin passive line (at 1.27 11.43 270) (length 2.54) (name "-12V" (effects (font (size 1.27 1.27)))) (number "4" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 -2.54 0) (length 2.54) + (pin passive line (at -7.62 -2.54 0) (length 2.54) (name "MRXD" (effects (font (size 1.27 1.27)))) (number "5" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) + (pin passive line (at 0 -11.43 90) (length 2.54) (name "GND" (effects (font (size 1.27 1.27)))) (number "6" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) + (pin passive line (at -1.27 11.43 270) (length 2.54) (name "+12V" (effects (font (size 1.27 1.27)))) (number "7" (effects (font (size 1.27 1.27)))) ) - (pin input line (at -7.62 0 0) (length 2.54) + (pin passive line (at -7.62 0 0) (length 2.54) (name "KBTXD" (effects (font (size 1.27 1.27)))) (number "8" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) hide + (pin passive line (at 0 -11.43 90) (length 2.54) hide (name "GND" (effects (font (size 1.27 1.27)))) (number "9" (effects (font (size 1.27 1.27)))) ) ) ) (symbol "sgikbd:sgi-mdin6" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 1.27 -10.16 0) + (property "Reference" "U" (id 0) (at 2.54 10.16 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "sgi-mdin6" (id 1) (at 3.81 0 90) + (property "Value" "sgi-mdin6" (id 1) (at 6.35 0 90) (effects (font (size 1.27 1.27))) ) (property "Footprint" "" (id 2) (at 0 -10.16 0) @@ -984,27 +1164,31 @@ ) ) (symbol "sgi-mdin6_1_1" - (pin output line (at -7.62 2.54 0) (length 2.54) + (pin passive line (at 2.54 -11.43 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 2.54 0) (length 2.54) (name "KBRX" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 -2.54 0) (length 2.54) + (pin passive line (at -7.62 -2.54 0) (length 2.54) (name "MRXD" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) + (pin power_out line (at 0 -11.43 90) (length 2.54) (name "GND" (effects (font (size 1.27 1.27)))) (number "3" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) + (pin power_out line (at -1.27 11.43 270) (length 2.54) (name "+8V" (effects (font (size 1.27 1.27)))) (number "4" (effects (font (size 1.27 1.27)))) ) - (pin input line (at -7.62 0 0) (length 2.54) + (pin passive line (at -7.62 0 0) (length 2.54) (name "KBTXD" (effects (font (size 1.27 1.27)))) (number "5" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 1.27 11.43 270) (length 2.54) + (pin power_out line (at 1.27 11.43 270) (length 2.54) (name "-8V" (effects (font (size 1.27 1.27)))) (number "6" (effects (font (size 1.27 1.27)))) ) @@ -1012,932 +1196,949 @@ ) ) - (junction (at 191.77 83.82) (diameter 0) (color 0 0 0 0) + (junction (at 200.66 104.14) (diameter 0) (color 0 0 0 0) + (uuid 004b4c5f-5b4d-4998-9a70-381fe2595f96) + ) + (junction (at 128.27 90.17) (diameter 0) (color 0 0 0 0) + (uuid 043deea8-bc6a-473e-9a9b-2be4b816d216) + ) + (junction (at 184.15 104.14) (diameter 0) (color 0 0 0 0) + (uuid 0a9611c8-613c-493b-8e43-ea5d058b6658) + ) + (junction (at 173.99 95.25) (diameter 0) (color 0 0 0 0) (uuid 0b0045d1-879c-4b8e-bd62-437d9c3b1467) ) - (junction (at 198.12 66.04) (diameter 0) (color 0 0 0 0) + (junction (at 151.13 105.41) (diameter 0) (color 0 0 0 0) + (uuid 0bc6e3e1-8b53-4c29-9d21-9f26795d0da8) + ) + (junction (at 180.34 77.47) (diameter 0) (color 0 0 0 0) (uuid 0c96fe2b-0f63-4979-ad6f-2e85444c51ce) ) - (junction (at 204.47 39.37) (diameter 0) (color 0 0 0 0) + (junction (at 186.69 50.8) (diameter 0) (color 0 0 0 0) (uuid 11df8cb0-7190-43bc-a9e0-31838140d736) ) - (junction (at 205.74 96.52) (diameter 0) (color 0 0 0 0) - (uuid 140c396f-2dff-47f9-95c3-bd005a62b957) + (junction (at 114.3 139.7) (diameter 0) (color 0 0 0 0) + (uuid 17beeb74-1ae5-4315-ba29-696c808c8f6f) ) - (junction (at 213.36 46.99) (diameter 0) (color 0 0 0 0) + (junction (at 195.58 58.42) (diameter 0) (color 0 0 0 0) (uuid 1a834dc9-9e74-4641-b99d-be1c95539bfa) ) - (junction (at 168.91 93.98) (diameter 0) (color 0 0 0 0) - (uuid 1b6ad9dd-18d4-49d1-a0cc-87e3695e53b1) + (junction (at 96.52 172.72) (diameter 0) (color 0 0 0 0) + (uuid 22a4a907-1259-4f37-bc66-c28a23e34323) ) - (junction (at 149.86 71.12) (diameter 0) (color 0 0 0 0) - (uuid 1d657f7b-b374-4939-b1cb-9a2f0b9a420d) + (junction (at 214.63 104.14) (diameter 0) (color 0 0 0 0) + (uuid 35d1a5d8-33ba-48ea-97ac-081a87833437) ) - (junction (at 232.41 92.71) (diameter 0) (color 0 0 0 0) - (uuid 2154aea8-2d33-48e2-9d38-936007661683) - ) - (junction (at 189.23 91.44) (diameter 0) (color 0 0 0 0) + (junction (at 171.45 102.87) (diameter 0) (color 0 0 0 0) (uuid 3a7b98b2-02d1-4545-b183-265f95791826) ) - (junction (at 91.44 105.41) (diameter 0) (color 0 0 0 0) + (junction (at 193.04 128.27) (diameter 0) (color 0 0 0 0) (uuid 40976bf0-19de-460f-ad64-224d4f51e16b) ) - (junction (at 207.01 95.25) (diameter 0) (color 0 0 0 0) - (uuid 4369ed3e-bd0d-4623-b109-f2e287dd42e5) + (junction (at 91.44 172.72) (diameter 0) (color 0 0 0 0) + (uuid 47757884-921a-49ef-9a03-6c617583b6a2) + ) + (junction (at 119.38 90.17) (diameter 0) (color 0 0 0 0) + (uuid 4d4083ff-553e-4fb0-b825-892a2f0df668) ) - (junction (at 209.55 49.53) (diameter 0) (color 0 0 0 0) + (junction (at 191.77 60.96) (diameter 0) (color 0 0 0 0) (uuid 51160667-9fe3-4b33-8108-caef51c690b8) ) - (junction (at 204.47 59.69) (diameter 0) (color 0 0 0 0) + (junction (at 186.69 71.12) (diameter 0) (color 0 0 0 0) (uuid 5146784f-7cf0-43c5-95c7-761d636bb3c9) ) - (junction (at 243.84 85.09) (diameter 0) (color 0 0 0 0) - (uuid 54bcdc6b-40bb-4bc9-8b16-9bc4bd9a24a7) + (junction (at 132.08 139.7) (diameter 0) (color 0 0 0 0) + (uuid 56f19286-2930-452f-80b9-3b5146a8f944) ) - (junction (at 217.17 68.58) (diameter 0) (color 0 0 0 0) + (junction (at 119.38 82.55) (diameter 0) (color 0 0 0 0) + (uuid 5f5f38c8-23e4-4238-9919-b7f50234a225) + ) + (junction (at 157.48 119.38) (diameter 0) (color 0 0 0 0) + (uuid 6c153bc4-5476-4cba-b0ae-bb99b7e9f955) + ) + (junction (at 199.39 80.01) (diameter 0) (color 0 0 0 0) (uuid 721349b3-582a-4064-9d23-583e330282a2) ) - (junction (at 147.32 93.98) (diameter 0) (color 0 0 0 0) - (uuid 7e3d5339-5477-460d-883b-b7cc400783d4) + (junction (at 198.12 104.14) (diameter 0) (color 0 0 0 0) + (uuid 8754e790-1c87-40f4-9405-c02888adba20) ) - (junction (at 215.9 92.71) (diameter 0) (color 0 0 0 0) - (uuid 8a789feb-97a8-4cca-b435-8367acee7da9) + (junction (at 130.81 74.93) (diameter 0) (color 0 0 0 0) + (uuid 96297fa4-d9c9-4067-9a34-c10ec6984254) ) - (junction (at 204.47 49.53) (diameter 0) (color 0 0 0 0) + (junction (at 123.19 105.41) (diameter 0) (color 0 0 0 0) + (uuid a31a96a9-d223-42e7-a21b-d2877aa27768) + ) + (junction (at 186.69 60.96) (diameter 0) (color 0 0 0 0) (uuid aaecb53a-10f6-4d93-9830-16873d80a899) ) - (junction (at 200.66 68.58) (diameter 0) (color 0 0 0 0) + (junction (at 147.32 105.41) (diameter 0) (color 0 0 0 0) + (uuid af73f900-b709-4331-8f2d-f60cc61bbe2b) + ) + (junction (at 182.88 80.01) (diameter 0) (color 0 0 0 0) (uuid b0dd4945-08a1-4050-ae3e-b02fe11c3cfc) ) - (junction (at 208.28 93.98) (diameter 0) (color 0 0 0 0) + (junction (at 190.5 106.68) (diameter 0) (color 0 0 0 0) (uuid b7835373-294b-47f9-8b6a-ca51871be85f) ) - (junction (at 222.25 59.69) (diameter 0) (color 0 0 0 0) + (junction (at 172.72 109.22) (diameter 0) (color 0 0 0 0) + (uuid c51d92fd-61d3-41f6-9bee-36a2691f6b80) + ) + (junction (at 204.47 71.12) (diameter 0) (color 0 0 0 0) (uuid ca1978fe-7cad-4d1c-b321-3f573658a41e) ) - (junction (at 124.46 48.26) (diameter 0) (color 0 0 0 0) + (junction (at 95.25 59.69) (diameter 0) (color 0 0 0 0) (uuid cb548d7a-7581-40ba-9baf-c2f46b08a677) ) - (junction (at 222.25 49.53) (diameter 0) (color 0 0 0 0) + (junction (at 204.47 60.96) (diameter 0) (color 0 0 0 0) (uuid cf78abb0-d4e0-4167-9f4e-cfb9638e1627) ) - (junction (at 91.44 97.79) (diameter 0) (color 0 0 0 0) + (junction (at 193.04 120.65) (diameter 0) (color 0 0 0 0) (uuid d260cd4d-c56f-4838-84e2-e40afd402790) ) - (junction (at 157.48 78.74) (diameter 0) (color 0 0 0 0) - (uuid df39ee0d-c86c-4433-af79-88dabc2cacbd) + (junction (at 118.11 105.41) (diameter 0) (color 0 0 0 0) + (uuid e3427a4a-a9a6-4578-87de-478d7728f413) + ) + (junction (at 187.96 111.76) (diameter 0) (color 0 0 0 0) + (uuid e7464739-2d0d-4471-9ae4-8683238af659) ) - (junction (at 214.63 66.04) (diameter 0) (color 0 0 0 0) + (junction (at 196.85 77.47) (diameter 0) (color 0 0 0 0) (uuid e8ceb33b-b7d8-4507-aac9-886c6a938404) ) - (junction (at 222.25 39.37) (diameter 0) (color 0 0 0 0) + (junction (at 189.23 109.22) (diameter 0) (color 0 0 0 0) + (uuid ebbf9fe6-aebe-444e-bbae-501ffaceebe8) + ) + (junction (at 204.47 50.8) (diameter 0) (color 0 0 0 0) (uuid ee415454-d76b-415f-ada1-de0d1f301b83) ) - (junction (at 149.86 78.74) (diameter 0) (color 0 0 0 0) - (uuid fcae2308-2968-4003-a9b0-49567af6ce94) - ) - - (no_connect (at 138.43 91.44) (uuid 0217dfc4-fc13-4699-99ad-d9948522648e)) - (no_connect (at 138.43 83.82) (uuid 2f215f15-3d52-4c91-93e6-3ea03a95622f)) - (no_connect (at 107.95 99.06) (uuid 390d5803-1218-4c17-9206-d5a9a15a85c9)) - (no_connect (at 138.43 60.96) (uuid 4fb21471-41be-4be8-9687-66030f97befc)) - (no_connect (at 138.43 58.42) (uuid 7599133e-c681-4202-85d9-c20dac196c64)) - (no_connect (at 138.43 55.88) (uuid 82be7aae-5d06-4178-8c3e-98760c41b054)) - (no_connect (at 107.95 104.14) (uuid 8625a941-ca34-4ba7-ba35-b34f6ef4b3e4)) - (no_connect (at 138.43 86.36) (uuid 8da933a9-35f8-42e6-8504-d1bab7264306)) - (no_connect (at 107.95 55.88) (uuid a6d7d100-4fc5-48ed-adda-304a3ec25aa7)) - (no_connect (at 107.95 101.6) (uuid a9a3e866-7490-4857-9012-5df9066679c2)) - (no_connect (at 138.43 78.74) (uuid b412e5a0-85c5-4a8e-a27e-d7c91c453dcc)) - (no_connect (at 138.43 88.9) (uuid bd5408e4-362d-4e43-9d39-78fb99eb52c8)) - (no_connect (at 107.95 106.68) (uuid cb1bfc9a-04cd-4117-8103-73234ef29e57)) - (no_connect (at 138.43 81.28) (uuid da0b481a-0a63-4102-8c7e-a3aef737eb16)) - (no_connect (at 138.43 116.84) (uuid dd601297-73be-43e8-bc33-80be82309ea3)) - (no_connect (at 138.43 114.3) (uuid f57dfde7-0cd3-46fe-ba95-2437744332ab)) - - (wire (pts (xy 223.52 95.25) (xy 223.52 81.28)) + (junction (at 101.6 172.72) (diameter 0) (color 0 0 0 0) + (uuid fdafd3b5-27b1-44a4-bac8-f920a748c758) + ) + + (no_connect (at 109.22 102.87) (uuid 0217dfc4-fc13-4699-99ad-d9948522648e)) + (no_connect (at 109.22 95.25) (uuid 2f215f15-3d52-4c91-93e6-3ea03a95622f)) + (no_connect (at 78.74 110.49) (uuid 390d5803-1218-4c17-9206-d5a9a15a85c9)) + (no_connect (at 109.22 72.39) (uuid 4fb21471-41be-4be8-9687-66030f97befc)) + (no_connect (at 109.22 69.85) (uuid 7599133e-c681-4202-85d9-c20dac196c64)) + (no_connect (at 109.22 67.31) (uuid 82be7aae-5d06-4178-8c3e-98760c41b054)) + (no_connect (at 78.74 115.57) (uuid 8625a941-ca34-4ba7-ba35-b34f6ef4b3e4)) + (no_connect (at 109.22 97.79) (uuid 8da933a9-35f8-42e6-8504-d1bab7264306)) + (no_connect (at 78.74 67.31) (uuid a6d7d100-4fc5-48ed-adda-304a3ec25aa7)) + (no_connect (at 78.74 113.03) (uuid a9a3e866-7490-4857-9012-5df9066679c2)) + (no_connect (at 109.22 90.17) (uuid b412e5a0-85c5-4a8e-a27e-d7c91c453dcc)) + (no_connect (at 109.22 100.33) (uuid bd5408e4-362d-4e43-9d39-78fb99eb52c8)) + (no_connect (at 78.74 118.11) (uuid cb1bfc9a-04cd-4117-8103-73234ef29e57)) + (no_connect (at 109.22 92.71) (uuid da0b481a-0a63-4102-8c7e-a3aef737eb16)) + (no_connect (at 109.22 128.27) (uuid dd601297-73be-43e8-bc33-80be82309ea3)) + (no_connect (at 109.22 125.73) (uuid f57dfde7-0cd3-46fe-ba95-2437744332ab)) + + (wire (pts (xy 205.74 109.22) (xy 205.74 92.71)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 00eb2467-825f-4a6b-80a1-acaec0a0f11c) ) - (wire (pts (xy 200.66 69.85) (xy 200.66 68.58)) + (wire (pts (xy 182.88 81.28) (xy 182.88 80.01)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0267f425-01d8-4da9-ac08-0f30dd7e6c9e) ) - (wire (pts (xy 189.23 96.52) (xy 189.23 91.44)) + (wire (pts (xy 171.45 111.76) (xy 171.45 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 03b2cde9-923e-4aee-9efa-ebffa84b9ce3) ) - (wire (pts (xy 220.98 39.37) (xy 222.25 39.37)) + (wire (pts (xy 203.2 50.8) (xy 204.47 50.8)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 052bff31-0b71-4230-9547-8d595c494f86) ) - (wire (pts (xy 208.28 78.74) (xy 205.74 78.74)) + (wire (pts (xy 190.5 90.17) (xy 187.96 90.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0664731e-27e4-429c-a3d9-483e9322b24e) ) - (wire (pts (xy 204.47 48.26) (xy 204.47 49.53)) + (wire (pts (xy 186.69 59.69) (xy 186.69 60.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 07450f27-f565-4239-baae-8dc3781951cd) ) - (wire (pts (xy 157.48 71.12) (xy 157.48 78.74)) + (wire (pts (xy 128.27 82.55) (xy 128.27 90.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 09389e3f-254c-445b-8f37-d57b2ed168d0) ) - (wire (pts (xy 217.17 68.58) (xy 217.17 69.85)) + (wire (pts (xy 199.39 80.01) (xy 199.39 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 09de45ee-e521-4127-b06d-0255579ecfe0) ) - (wire (pts (xy 195.58 59.69) (xy 195.58 68.58)) + (wire (pts (xy 172.72 92.71) (xy 172.72 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0c97ce8e-0fed-4777-8311-b9e943d61978) + ) + (wire (pts (xy 177.8 71.12) (xy 177.8 80.01)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0de725ec-7672-4b01-b55c-542366a59fa0) ) - (wire (pts (xy 190.5 95.25) (xy 207.01 95.25)) + (wire (pts (xy 172.72 109.22) (xy 189.23 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 13a0c642-35b3-43f8-a82d-bd2ca4fb5098) ) - (wire (pts (xy 138.43 99.06) (xy 144.78 99.06)) + (wire (pts (xy 109.22 110.49) (xy 143.51 110.49)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 13c0ff76-ed71-4cd9-abb0-92c376825d5d) ) - (wire (pts (xy 222.25 39.37) (xy 222.25 40.64)) + (wire (pts (xy 114.3 137.16) (xy 114.3 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1553dea6-c996-4fca-9b4d-af4e4427f8b0) + ) + (wire (pts (xy 132.08 137.16) (xy 132.08 139.7)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 15f666f2-f13d-4063-83dc-5c3c88337da7) + ) + (wire (pts (xy 157.48 119.38) (xy 161.29 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1934902d-e21b-4870-8d1d-eef220eb81f6) + ) + (wire (pts (xy 172.72 119.38) (xy 168.91 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1b9933d1-30c5-4176-9f3c-3cebd47474bf) + ) + (wire (pts (xy 204.47 50.8) (xy 204.47 52.07)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1b9d15d1-cd90-477d-8c28-9cd0ba6464fb) ) - (wire (pts (xy 207.01 95.25) (xy 223.52 95.25)) + (wire (pts (xy 189.23 109.22) (xy 205.74 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1bc610c6-bd18-46d4-b1ef-111c07e295bd) ) - (wire (pts (xy 222.25 49.53) (xy 222.25 50.8)) + (wire (pts (xy 119.38 82.55) (xy 120.65 82.55)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1bccb1c5-dd55-46bc-b68c-10c7153eed51) + ) + (wire (pts (xy 204.47 60.96) (xy 204.47 62.23)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1cc3864e-0ce7-4bec-8549-1b261b7ca633) ) - (wire (pts (xy 231.14 66.04) (xy 231.14 69.85)) + (wire (pts (xy 128.27 80.01) (xy 128.27 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1dee3dda-a311-4f14-a5f5-253ec9c669a7) + ) + (wire (pts (xy 213.36 77.47) (xy 213.36 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 20199875-0602-4d84-819f-1654cb6d484b) ) - (wire (pts (xy 67.31 45.72) (xy 77.47 45.72)) + (wire (pts (xy 134.62 97.79) (xy 134.62 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 296c4da1-e777-4d46-a31a-c41da7f2f172) + ) + (wire (pts (xy 130.81 57.15) (xy 144.78 57.15)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2973edba-ff5c-42a1-921d-c9e32bbadf81) ) - (wire (pts (xy 205.74 96.52) (xy 222.25 96.52)) + (wire (pts (xy 187.96 111.76) (xy 204.47 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2a8f00f1-4f4e-4a83-bb17-a360921b86b7) ) - (wire (pts (xy 205.74 96.52) (xy 189.23 96.52)) + (wire (pts (xy 187.96 111.76) (xy 171.45 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2e2aec4a-2119-4908-a130-46882f23aaf6) ) - (wire (pts (xy 207.01 81.28) (xy 208.28 81.28)) + (wire (pts (xy 189.23 92.71) (xy 190.5 92.71)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 30257652-39f8-4cdc-b239-98b4b1fc9c5e) ) - (wire (pts (xy 191.77 93.98) (xy 208.28 93.98)) + (wire (pts (xy 173.99 106.68) (xy 190.5 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3147de0d-832e-4590-8428-f285e30ae846) ) - (wire (pts (xy 138.43 63.5) (xy 167.64 63.5)) + (wire (pts (xy 109.22 74.93) (xy 130.81 74.93)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3439f52c-f7b0-4508-817e-f2c9865feffc) ) - (wire (pts (xy 138.43 104.14) (xy 156.21 104.14)) + (wire (pts (xy 109.22 115.57) (xy 119.38 115.57)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3467e1e1-d66a-4aac-8301-c98b5017c61a) ) - (wire (pts (xy 161.29 109.22) (xy 161.29 115.57)) + (wire (pts (xy 124.46 120.65) (xy 124.46 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 359100c9-3fe6-467d-8602-9a51dec7245c) ) - (wire (pts (xy 186.69 83.82) (xy 191.77 83.82)) + (wire (pts (xy 168.91 95.25) (xy 173.99 95.25)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 373697a5-488a-4430-bae1-d8eb6490a949) ) - (wire (pts (xy 191.77 81.28) (xy 190.5 81.28)) + (wire (pts (xy 173.99 92.71) (xy 172.72 92.71)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 37da8bfd-e70d-4d31-b693-8df68bc519a5) ) - (wire (pts (xy 138.43 93.98) (xy 147.32 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4002af27-2fef-4216-81e2-98c7153fbd15) - ) - (wire (pts (xy 163.83 88.9) (xy 171.45 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 408df48c-78e6-47d6-b97d-265134e7a8e6) - ) - (wire (pts (xy 138.43 68.58) (xy 144.78 68.58)) + (wire (pts (xy 109.22 80.01) (xy 128.27 80.01)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 44d6f279-e9a3-495e-9f38-552b4a366fde) ) - (wire (pts (xy 232.41 92.71) (xy 243.84 92.71)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 47189687-65b7-4f34-9fa8-21e7cc79abef) - ) - (wire (pts (xy 224.79 93.98) (xy 224.79 83.82)) + (wire (pts (xy 207.01 106.68) (xy 207.01 95.25)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 47f3d2a9-047e-4911-bb6f-ac513456259f) ) - (wire (pts (xy 205.74 78.74) (xy 205.74 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 48a65784-41f4-4750-8d76-fe74b9ae10b7) - ) - (wire (pts (xy 186.69 73.66) (xy 186.69 83.82)) + (wire (pts (xy 168.91 85.09) (xy 168.91 95.25)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4bd2422f-e62c-4f60-9dde-2b0d509e9f4a) ) - (wire (pts (xy 138.43 66.04) (xy 149.86 66.04)) + (wire (pts (xy 109.22 77.47) (xy 125.73 77.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4de9ad4c-2ae0-41dd-a5f2-ee685ef426d0) ) - (wire (pts (xy 214.63 66.04) (xy 231.14 66.04)) + (wire (pts (xy 196.85 77.47) (xy 213.36 77.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4e5b6692-c1c2-454e-8ace-1865f2b5bfc6) ) - (wire (pts (xy 215.9 92.71) (xy 232.41 92.71)) + (wire (pts (xy 123.19 97.79) (xy 134.62 97.79)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 4f1f88bd-e883-4adc-a4d5-ffc717225a49) + (uuid 4f5d2c02-f253-4978-b4b2-d76139265c19) ) - (wire (pts (xy 168.91 76.2) (xy 171.45 76.2)) + (wire (pts (xy 151.13 87.63) (xy 153.67 87.63)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4f7baab1-3113-41d6-9a97-1371b5bb1229) ) - (wire (pts (xy 222.25 78.74) (xy 224.79 78.74)) + (wire (pts (xy 153.67 91.44) (xy 153.67 100.33)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4fc8f128-1834-4bbe-873f-ed3b674c596f) + ) + (wire (pts (xy 114.3 90.17) (xy 119.38 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 52aba4f4-7349-4501-8e9a-9573a77c3d73) + ) + (wire (pts (xy 200.66 104.14) (xy 214.63 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5b47a30e-f7fe-4354-88b7-646c8c86bae1) + ) + (wire (pts (xy 204.47 90.17) (xy 207.01 90.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6069c300-931b-42dc-96af-96ff9dbd1c21) ) - (wire (pts (xy 204.47 49.53) (xy 204.47 50.8)) + (wire (pts (xy 186.69 60.96) (xy 186.69 62.23)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 62893064-e246-46df-b7bc-17924315f811) ) - (wire (pts (xy 146.05 73.66) (xy 146.05 78.74)) + (wire (pts (xy 114.3 85.09) (xy 114.3 90.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 62fa52fd-37e8-48eb-9733-fcd039075f9e) ) - (wire (pts (xy 193.04 39.37) (xy 193.04 66.04)) + (wire (pts (xy 175.26 50.8) (xy 175.26 77.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 63a0506f-6342-4cbe-9162-c62dec381590) ) - (wire (pts (xy 247.65 104.14) (xy 168.91 104.14)) + (wire (pts (xy 172.72 109.22) (xy 172.72 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 650e4a5e-a468-4dae-a2ef-ee487e13b6ef) + (uuid 6518361a-04f4-4dad-8da6-b3af793157b1) ) - (wire (pts (xy 208.28 83.82) (xy 208.28 93.98)) + (wire (pts (xy 123.19 105.41) (xy 123.19 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 66b63ab3-c5f0-470a-a29b-8e685099f0fb) + ) + (wire (pts (xy 190.5 95.25) (xy 190.5 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6e7aaa2c-3caa-46d3-8c8b-b4b4863bcf34) ) - (wire (pts (xy 156.21 104.14) (xy 156.21 115.57)) + (wire (pts (xy 119.38 115.57) (xy 119.38 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6fb1cfc6-732d-4f33-8efc-e8cae7c6deab) ) - (wire (pts (xy 204.47 39.37) (xy 205.74 39.37)) + (wire (pts (xy 186.69 50.8) (xy 187.96 50.8)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7058e0ce-bc3c-42b4-9562-259f1f4694bf) ) - (wire (pts (xy 204.47 39.37) (xy 204.47 40.64)) + (wire (pts (xy 186.69 50.8) (xy 186.69 52.07)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7209de92-f88f-46d1-95f3-dc082166dec3) ) - (wire (pts (xy 231.14 38.1) (xy 231.14 39.37)) + (wire (pts (xy 130.81 57.15) (xy 130.81 74.93)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 75509d89-c6bc-4a34-a9ac-799deb36cb55) + ) + (wire (pts (xy 140.97 91.44) (xy 153.67 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7767bb94-05de-46bb-9303-0afccaa0863a) + (uuid 77ccbc0a-195b-47a1-a2a0-68365043f508) ) - (wire (pts (xy 209.55 52.07) (xy 209.55 49.53)) + (wire (pts (xy 191.77 63.5) (xy 191.77 60.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 78fb3e23-23c0-4e13-8248-dc0303f90e24) ) - (wire (pts (xy 204.47 58.42) (xy 204.47 59.69)) + (wire (pts (xy 186.69 69.85) (xy 186.69 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7ddbffdd-2045-4c34-a35f-e5e87d3b7dcc) ) - (wire (pts (xy 191.77 83.82) (xy 191.77 93.98)) + (wire (pts (xy 173.99 95.25) (xy 173.99 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7e825249-b348-4cf3-bf12-a569e7f74be3) ) - (wire (pts (xy 138.43 111.76) (xy 179.07 111.76)) + (wire (pts (xy 109.22 123.19) (xy 142.24 123.19)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 80576a9f-7fd5-4864-9aeb-89489599edab) ) - (wire (pts (xy 222.25 58.42) (xy 222.25 59.69)) + (wire (pts (xy 189.23 92.71) (xy 189.23 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 80a36a6a-5b18-4341-a607-1bc19bc860c6) + ) + (wire (pts (xy 96.52 172.72) (xy 101.6 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 80a82218-dbea-494c-8424-25dfeb6318a5) + ) + (wire (pts (xy 143.51 110.49) (xy 143.51 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 84860aa2-a9ac-47bf-9af4-7f938c65a702) + ) + (wire (pts (xy 204.47 69.85) (xy 204.47 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 855d4b1f-f54b-491e-9e45-a3852c15af06) ) - (wire (pts (xy 222.25 59.69) (xy 232.41 59.69)) + (wire (pts (xy 204.47 71.12) (xy 209.55 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 86e184b7-849b-4ba4-be43-522deabc490b) ) - (wire (pts (xy 213.36 52.07) (xy 209.55 52.07)) + (wire (pts (xy 195.58 63.5) (xy 191.77 63.5)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 86f12c1f-bc89-478a-af75-fbaee1b31834) ) - (wire (pts (xy 168.91 93.98) (xy 168.91 76.2)) + (wire (pts (xy 151.13 105.41) (xy 151.13 87.63)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8790dbcc-e3d4-4e5e-ab69-7ce390da6be3) ) - (wire (pts (xy 217.17 68.58) (xy 233.68 68.58)) + (wire (pts (xy 199.39 80.01) (xy 215.9 80.01)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 88905e1f-ad92-4f5d-a5e7-017f910f8e75) ) - (wire (pts (xy 198.12 66.04) (xy 214.63 66.04)) + (wire (pts (xy 180.34 77.47) (xy 196.85 77.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8b8195ec-efa2-47e8-9134-4c9266a8d276) ) - (wire (pts (xy 223.52 81.28) (xy 224.79 81.28)) + (wire (pts (xy 205.74 92.71) (xy 207.01 92.71)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8bcf210c-3fe7-4bd2-98b4-bccea1a834e4) ) - (wire (pts (xy 83.82 105.41) (xy 91.44 105.41)) + (wire (pts (xy 185.42 128.27) (xy 193.04 128.27)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8c514922-ffe1-4e37-a260-e807409f2e0d) ) - (wire (pts (xy 214.63 66.04) (xy 214.63 69.85)) + (wire (pts (xy 196.85 77.47) (xy 196.85 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8cb728ef-7455-4a15-8c69-f1099dedd937) ) - (wire (pts (xy 222.25 96.52) (xy 222.25 78.74)) + (wire (pts (xy 204.47 111.76) (xy 204.47 90.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 90dd75e6-8e68-47c3-921e-38c3a19d33dc) ) - (wire (pts (xy 186.69 91.44) (xy 189.23 91.44)) + (wire (pts (xy 168.91 102.87) (xy 171.45 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9162a7b8-b8fc-4fbd-afd5-30ce65d3aea0) ) - (wire (pts (xy 195.58 68.58) (xy 200.66 68.58)) + (wire (pts (xy 177.8 80.01) (xy 182.88 80.01)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9273caf5-9224-4c67-96d4-c0949ebd4378) ) - (wire (pts (xy 124.46 48.26) (xy 125.73 48.26)) + (wire (pts (xy 109.22 105.41) (xy 118.11 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9434b7e6-32bb-457a-8539-2028e0ed80b4) + ) + (wire (pts (xy 95.25 59.69) (xy 96.52 59.69)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9c1f4b6c-2191-4e12-b567-a702c7b202b8) ) - (wire (pts (xy 173.99 106.68) (xy 173.99 115.57)) + (wire (pts (xy 184.15 104.14) (xy 198.12 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9e011402-8ef7-4509-a7fa-ac4e57f55c75) + ) + (wire (pts (xy 137.16 118.11) (xy 137.16 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9e31d665-1c9d-4785-954e-8a8768e4f03b) ) - (wire (pts (xy 208.28 93.98) (xy 224.79 93.98)) + (wire (pts (xy 190.5 106.68) (xy 207.01 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9ef11598-dea2-46bb-aa66-0c353df6e35a) ) - (wire (pts (xy 199.39 92.71) (xy 215.9 92.71)) + (wire (pts (xy 101.6 172.72) (xy 106.68 172.72)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9f49b08f-61b2-49a7-a806-555e71d34ad4) + (uuid a43483b7-3b97-40cd-906a-f30d964a5fb5) ) - (wire (pts (xy 198.12 69.85) (xy 198.12 66.04)) + (wire (pts (xy 180.34 81.28) (xy 180.34 77.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a43ea7ec-c081-4fec-bc5f-ae73f983724c) ) - (wire (pts (xy 67.31 48.26) (xy 77.47 48.26)) + (wire (pts (xy 128.27 59.69) (xy 144.78 59.69)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a490a4cf-81ce-4dcf-bb87-e31807212b86) ) - (wire (pts (xy 233.68 68.58) (xy 233.68 69.85)) + (wire (pts (xy 215.9 80.01) (xy 215.9 81.28)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a5b3d182-6b4c-41a4-a3e2-a0384366c9b9) ) - (wire (pts (xy 138.43 71.12) (xy 149.86 71.12)) + (wire (pts (xy 109.22 82.55) (xy 119.38 82.55)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a96497f2-40ef-402b-ba1a-c469561cde82) ) - (wire (pts (xy 243.84 85.09) (xy 247.65 85.09)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid aab08ae6-cbb6-4481-8564-e1186a9b1bd3) - ) - (wire (pts (xy 217.17 46.99) (xy 217.17 49.53)) + (wire (pts (xy 199.39 58.42) (xy 199.39 60.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid aad148b8-96de-4b41-bdfa-afa26da8a116) ) - (wire (pts (xy 163.83 101.6) (xy 163.83 88.9)) + (wire (pts (xy 140.97 91.44) (xy 140.97 113.03)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ab57d4e4-f772-4d0b-9a52-91e3b9d227da) ) - (wire (pts (xy 193.04 39.37) (xy 204.47 39.37)) + (wire (pts (xy 91.44 172.72) (xy 96.52 172.72)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae5837af-2369-4bf5-b4be-a8d910183673) + ) + (wire (pts (xy 119.38 90.17) (xy 120.65 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b05a1dcc-bb47-4f94-878e-e68c820bdfc2) + ) + (wire (pts (xy 125.73 77.47) (xy 125.73 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b3009ab9-cc7b-4452-a509-09b31b652194) + ) + (wire (pts (xy 175.26 50.8) (xy 186.69 50.8)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b36ad442-89cf-441b-896c-d5d1b211caea) ) - (wire (pts (xy 200.66 68.58) (xy 217.17 68.58)) + (wire (pts (xy 182.88 80.01) (xy 199.39 80.01)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b560c0ef-0343-4f16-a0d5-75811d70a419) ) - (wire (pts (xy 204.47 49.53) (xy 209.55 49.53)) + (wire (pts (xy 186.69 60.96) (xy 191.77 60.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b5ca2aed-3f1d-4660-af08-5977069273e0) ) - (wire (pts (xy 168.91 93.98) (xy 171.45 93.98)) + (wire (pts (xy 151.13 105.41) (xy 153.67 105.41)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b698334e-3f55-4995-88c8-fb522ef719e2) ) - (wire (pts (xy 204.47 59.69) (xy 205.74 59.69)) + (wire (pts (xy 187.96 90.17) (xy 187.96 111.76)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b6d6ca55-78e6-4497-8232-9623c8540b23) + ) + (wire (pts (xy 186.69 71.12) (xy 187.96 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b760b529-5534-426b-8feb-4221910d9c1d) ) - (wire (pts (xy 67.31 43.18) (xy 77.47 43.18)) + (wire (pts (xy 125.73 54.61) (xy 144.78 54.61)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b9dddf7f-e3c7-4c2a-89d8-90d683d464bc) ) - (wire (pts (xy 146.05 78.74) (xy 149.86 78.74)) + (wire (pts (xy 157.48 119.38) (xy 157.48 120.65)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid baff8bdc-1710-4b7d-b085-3f7c37b01bcf) + (uuid bf779646-baae-4cc6-867c-f3bec41e83be) ) - (wire (pts (xy 217.17 49.53) (xy 222.25 49.53)) + (wire (pts (xy 199.39 60.96) (xy 204.47 60.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bfde7614-b56d-402b-b8d6-1947a8568227) ) - (wire (pts (xy 83.82 97.79) (xy 91.44 97.79)) + (wire (pts (xy 185.42 120.65) (xy 193.04 120.65)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c25a772d-af9c-4ebc-96f6-0966738c13a8) ) - (wire (pts (xy 138.43 73.66) (xy 146.05 73.66)) + (wire (pts (xy 193.04 120.65) (xy 200.66 120.65)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c25bf1f2-2cb2-4ab8-9657-9e6d70e544cf) + ) + (wire (pts (xy 109.22 85.09) (xy 114.3 85.09)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c27e72dc-5569-4271-87b9-c8d1798c3b7e) ) - (wire (pts (xy 138.43 101.6) (xy 163.83 101.6)) + (wire (pts (xy 198.12 104.14) (xy 200.66 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c2cc8ff4-27ac-4cf0-ac26-7755840725e9) + ) + (wire (pts (xy 109.22 113.03) (xy 140.97 113.03)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c332fa55-4168-4f55-88a5-f82c7c21040b) ) - (wire (pts (xy 222.25 48.26) (xy 222.25 49.53)) + (wire (pts (xy 147.32 105.41) (xy 151.13 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c5179ebc-7327-415a-91e6-4c53f23ec493) + ) + (wire (pts (xy 204.47 59.69) (xy 204.47 60.96)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c58207a3-eaee-4a52-a1ca-ca6c2038c2a4) ) - (wire (pts (xy 189.23 91.44) (xy 189.23 78.74)) + (wire (pts (xy 118.11 105.41) (xy 123.19 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c602a4bd-b20e-44d0-8551-a18c7f37b881) + ) + (wire (pts (xy 171.45 102.87) (xy 171.45 90.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cc498c70-1be5-4703-9363-dfbd05145181) ) - (wire (pts (xy 167.64 63.5) (xy 167.64 71.12)) + (wire (pts (xy 130.81 74.93) (xy 130.81 82.55)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d0891377-5300-463f-982c-a35ac1bc3822) ) - (wire (pts (xy 193.04 66.04) (xy 198.12 66.04)) + (wire (pts (xy 175.26 77.47) (xy 180.34 77.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d67aad2a-c541-4953-90fc-06594fab282c) ) - (wire (pts (xy 168.91 104.14) (xy 168.91 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d9a02c34-619a-40db-bd3d-eb46f4f80a1f) - ) - (wire (pts (xy 179.07 111.76) (xy 179.07 115.57)) + (wire (pts (xy 142.24 123.19) (xy 142.24 127)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid da895d53-f219-4fd6-9f25-e4a04bfd320f) ) - (wire (pts (xy 209.55 46.99) (xy 213.36 46.99)) + (wire (pts (xy 191.77 58.42) (xy 195.58 58.42)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e0c2ec4f-e5aa-4639-964f-00f789befa4e) ) - (wire (pts (xy 138.43 106.68) (xy 173.99 106.68)) + (wire (pts (xy 109.22 118.11) (xy 137.16 118.11)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e3196535-1508-4451-9292-18cc97aebf49) ) - (wire (pts (xy 220.98 59.69) (xy 222.25 59.69)) + (wire (pts (xy 214.63 104.14) (xy 217.17 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e48047fe-37db-4d94-8a20-1da554cbaa7c) + ) + (wire (pts (xy 181.61 104.14) (xy 184.15 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e6510db2-9ed2-4b68-b96f-f4138556b818) + ) + (wire (pts (xy 203.2 71.12) (xy 204.47 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e898b4ad-88ce-49d1-94e6-56d2f25e9889) ) - (wire (pts (xy 213.36 46.99) (xy 217.17 46.99)) + (wire (pts (xy 195.58 58.42) (xy 199.39 58.42)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid eaa5a845-b03f-43a8-9300-440250e488f0) ) - (wire (pts (xy 190.5 81.28) (xy 190.5 95.25)) + (wire (pts (xy 143.51 119.38) (xy 157.48 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f4db5a2d-2807-40b6-87fb-1c010d7196da) + (uuid f3c10611-87cf-455f-a50c-3d906df8e0a0) ) - (wire (pts (xy 195.58 59.69) (xy 204.47 59.69)) + (wire (pts (xy 177.8 71.12) (xy 186.69 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f73d0b8a-16ae-45af-9f80-7f27336dcc85) ) - (wire (pts (xy 167.64 71.12) (xy 171.45 71.12)) + (wire (pts (xy 130.81 82.55) (xy 153.67 82.55)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f75a6118-bde2-4b2f-bd2c-aa4f4604adc1) ) - (wire (pts (xy 209.55 49.53) (xy 209.55 46.99)) + (wire (pts (xy 193.04 128.27) (xy 200.66 128.27)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fa8d12cd-db87-48c1-9346-60a3af0c9b5e) + (uuid f7bac67f-0072-4997-8938-a7885e7b88da) ) - (wire (pts (xy 247.65 85.09) (xy 247.65 104.14)) + (wire (pts (xy 191.77 60.96) (xy 191.77 58.42)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid faeffe41-762d-48ac-b170-f72acb7031d4) + (uuid fa8d12cd-db87-48c1-9346-60a3af0c9b5e) ) - (wire (pts (xy 138.43 109.22) (xy 161.29 109.22)) + (wire (pts (xy 109.22 120.65) (xy 124.46 120.65)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fb1c2ff3-022c-4298-ae12-c3458b2087f4) ) - (wire (pts (xy 189.23 78.74) (xy 191.77 78.74)) + (wire (pts (xy 171.45 90.17) (xy 173.99 90.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fb24e229-968c-4241-a840-ab5218f67be6) ) - (wire (pts (xy 123.19 48.26) (xy 124.46 48.26)) + (wire (pts (xy 93.98 59.69) (xy 95.25 59.69)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fb40c76f-ef48-4ff6-89ef-d8052f73c3b2) ) - (wire (pts (xy 67.31 50.8) (xy 77.47 50.8)) + (wire (pts (xy 134.62 62.23) (xy 144.78 62.23)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fc9ba263-c3dc-4aaf-8b34-14b5e8bfccba) ) - (wire (pts (xy 222.25 39.37) (xy 231.14 39.37)) + (wire (pts (xy 204.47 50.8) (xy 209.55 50.8)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fc9ba49c-500f-4691-8b0e-1fcf34b7f406) ) - (wire (pts (xy 207.01 95.25) (xy 207.01 81.28)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fdfc40ca-3dd0-4ffc-8fbb-7721d5c7fba8) - ) - (label "MCLK" (at 139.7 106.68 0) + (label "MCLK" (at 110.49 118.11 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 0ca533c9-a80d-47bb-a525-5f1df9a8b874) ) - (label "TXD0" (at 139.7 101.6 0) + (label "SGIMRX" (at 175.26 106.68 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 136c9988-72d4-44fa-a23a-394a5ead0e57) + ) + (label "TXD0" (at 110.49 113.03 0) (effects (font (size 1.524 1.524)) (justify left bottom)) (uuid 1a6d2848-e78e-49fe-8978-e1890f07836f) ) - (label "SCK" (at 77.47 48.26 180) + (label "VREF" (at 153.67 87.63 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 205c9f99-8ca1-43af-a4d4-387b141fbd78) + ) + (label "SCK" (at 140.97 59.69 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 39a04379-ade8-468b-8ce9-f0f439f6d34e) ) - (label "VBUS-" (at 198.12 59.69 0) + (label "VBUS-" (at 179.07 71.12 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 4631666b-7f93-470e-8c3e-4c2209983c27) ) - (label "~{RESET}" (at 139.7 93.98 0) + (label "SGIKBRX" (at 175.26 111.76 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4eea4c3f-7326-497b-882d-6c26daa2babf) + ) + (label "~{RESET}" (at 110.49 105.41 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 55793fa1-d03a-4a3d-83c6-9482f0f097c9) ) - (label "XTAL1" (at 138.43 73.66 0) + (label "XTAL1" (at 109.22 85.09 0) (effects (font (size 1.524 1.524)) (justify left bottom)) (uuid 61fe293f-6808-4b7f-9340-9aaac7054a97) ) - (label "MDATA" (at 139.7 111.76 0) + (label "MDATA" (at 110.49 123.19 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 754e3fd1-0836-4297-8f56-4427e1fe6c77) ) - (label "VBUS+" (at 198.12 66.04 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid 88359d28-d7cc-4ec2-b54b-cb1f70884d6e) - ) - (label "RXD1_MISO" (at 139.7 66.04 0) + (label "MISO" (at 110.49 77.47 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 938d2b76-38bf-4cd1-b554-a1dbcb60b2c1) ) - (label "RXD1_MISO" (at 77.47 43.18 180) + (label "MISO" (at 140.97 54.61 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 970fc4ef-4a28-452a-bf32-504a1500cb11) ) - (label "SCK" (at 139.7 68.58 0) + (label "SGIKBTX" (at 175.26 109.22 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 97b8980b-6e4f-4718-b734-88814b832c00) + ) + (label "SCK" (at 110.49 80.01 0) (effects (font (size 1.524 1.524)) (justify left bottom)) (uuid 9e1b837f-0d34-4a18-9644-9ee68f141f46) ) - (label "~{RESET}" (at 77.47 50.8 180) + (label "~{RESET}" (at 140.97 62.23 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a420c5b2-aa6c-456d-b6fe-9dc892db1a74) ) - (label "RXD0" (at 139.7 99.06 0) + (label "RXD0" (at 110.49 110.49 0) (effects (font (size 1.524 1.524)) (justify left bottom)) (uuid a544eb0a-75db-4baf-bf54-9ca21744343b) ) - (label "KBDATA" (at 139.7 109.22 0) + (label "KBDATA" (at 110.49 120.65 0) (effects (font (size 1.524 1.524)) (justify left bottom)) (uuid a5cd8da1-8f7f-4f80-bb23-0317de562222) ) - (label "TXD1_MOSI" (at 77.47 45.72 180) + (label "TXD1_MOSI" (at 140.97 57.15 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a8109dbf-85cc-44e1-bf31-2f759793d3a8) ) - (label "-5V" (at 227.33 59.69 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid ad5cc79d-f8a4-4188-9896-96d82305fa69) - ) - (label "XTAL0" (at 138.43 71.12 0) + (label "XTAL0" (at 109.22 82.55 0) (effects (font (size 1.524 1.524)) (justify left bottom)) (uuid b88717bd-086f-46cd-9d3f-0396009d0996) ) - (label "VBUS-" (at 200.66 68.58 0) - (effects (font (size 1.27 1.27)) (justify left bottom)) - (uuid c8b48f59-a390-4709-a9c2-278e4debdadb) - ) - (label "KBCLK" (at 139.7 104.14 0) + (label "KBCLK" (at 110.49 115.57 0) (effects (font (size 1.524 1.524)) (justify left bottom)) (uuid cbdcaa78-3bbc-413f-91bf-2709119373ce) ) - (label "VBUS+" (at 198.12 39.37 0) + (label "VBUS+" (at 179.07 50.8 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid d90281e9-e9e2-4c54-b430-000d6356b203) ) - (label "TXD1_MOSI" (at 139.7 63.5 0) + (label "TXD1_MOSI" (at 110.49 74.93 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid dc0c3b70-f296-48d6-9dcd-25fcfebdb8af) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 151.13 125.73 0) (unit 1) + (symbol (lib_id "power:GND") (at 195.58 58.42 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059dd9d4a) - (property "Reference" "#PWR12" (id 0) (at 151.13 132.08 0) + (uuid 00235412-99d0-48e3-9d71-7b7a2c31c0d1) + (property "Reference" "#PWR019" (id 0) (at 195.58 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 151.13 129.54 0)) - (property "Footprint" "" (id 2) (at 151.13 125.73 0) + (property "Value" "GND" (id 1) (at 195.58 62.23 0)) + (property "Footprint" "" (id 2) (at 195.58 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 151.13 125.73 0) + (property "Datasheet" "" (id 3) (at 195.58 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 4d586a18-26c5-441e-a9ff-8125ee516126)) + (pin "1" (uuid 5fb80622-2d14-46e3-a94c-7f6961a951d2)) ) - (symbol (lib_id "sgikbd-rescue:Crystal") (at 149.86 74.93 270) (unit 1) + (symbol (lib_id "power:GND") (at 132.08 139.7 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059dda0c7) - (property "Reference" "Y1" (id 0) (at 147.32 72.39 90)) - (property "Value" "14.7456MHz" (id 1) (at 158.75 74.93 90)) - (property "Footprint" "" (id 2) (at 149.86 74.93 0) + (uuid 047a96e6-f4e1-404c-94a9-bf32b976a8fa) + (property "Reference" "#PWR07" (id 0) (at 132.08 146.05 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 149.86 74.93 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid c04386e0-b49e-4fff-b380-675af13a62cb)) - (pin "2" (uuid b9bb0e73-161a-4d06-b6eb-a9f66d8a95f5)) - ) - - (symbol (lib_id "sgikbd-rescue:C") (at 153.67 78.74 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059dda1c6) - (property "Reference" "C3" (id 0) (at 152.4 82.55 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "22pF" (id 1) (at 147.32 80.01 90) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 149.86 79.7052 0) + (property "Value" "GND" (id 1) (at 132.08 143.51 0)) + (property "Footprint" "" (id 2) (at 132.08 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 153.67 78.74 0) + (property "Datasheet" "" (id 3) (at 132.08 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 31540a7e-dc9e-4e4d-96b1-dab15efa5f4b)) - (pin "2" (uuid 8c1605f9-6c91-4701-96bf-e753661d5e23)) + (pin "1" (uuid 9c97febc-2869-4c34-8c1e-9d505b0f92f6)) ) - (symbol (lib_id "sgikbd-rescue:C") (at 153.67 71.12 270) (unit 1) + (symbol (lib_id "Device:C_Polarized") (at 186.69 55.88 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059dda28b) - (property "Reference" "C4" (id 0) (at 154.94 69.85 90) + (uuid 0506c95a-0384-4742-ad3c-3b37dfed6254) + (property "Reference" "C4" (id 0) (at 180.34 58.42 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "22pF" (id 1) (at 147.32 69.85 90) + (property "Value" "0.33uF" (id 1) (at 177.5454 56.0166 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 149.86 72.0852 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 153.67 71.12 0) + (property "Footprint" "Capacitor_SMD:CP_Elec_4x5.4" (id 2) (at 187.6552 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 4a850cb6-bb24-4274-a902-e49f34f0a0e3)) - (pin "2" (uuid e5203297-b913-4288-a576-12a92185cb52)) - ) - - (symbol (lib_id "sgikbd-rescue:GND") (at 157.48 78.74 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059dda306) - (property "Reference" "#PWR8" (id 0) (at 157.48 85.09 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 157.48 82.55 0)) - (property "Footprint" "" (id 2) (at 157.48 78.74 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 157.48 78.74 0) + (property "Datasheet" "~" (id 3) (at 186.69 55.88 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 88cb65f4-7e9e-44eb-8692-3b6e2e788a94)) + (pin "1" (uuid 67d4c2b1-d80e-4f82-ba05-2f27231841d0)) + (pin "2" (uuid 52fefdc2-214b-4873-ad0c-6f78e663dc37)) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 123.19 124.46 0) (unit 1) + (symbol (lib_id "power:GND") (at 114.3 139.7 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059dda5c3) - (property "Reference" "#PWR4" (id 0) (at 123.19 130.81 0) + (uuid 0715ebf3-ff52-4640-a098-1f9c11563669) + (property "Reference" "#PWR03" (id 0) (at 114.3 146.05 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 123.19 128.27 0)) - (property "Footprint" "" (id 2) (at 123.19 124.46 0) + (property "Value" "GND" (id 1) (at 114.3 143.51 0)) + (property "Footprint" "" (id 2) (at 114.3 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 123.19 124.46 0) + (property "Datasheet" "" (id 3) (at 114.3 139.7 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 224768bc-6009-43ba-aa4a-70cbaa15b5a3)) + (pin "1" (uuid db41c9be-bbee-49fc-9de7-fe1f1ff00c20)) ) - (symbol (lib_id "sgikbd-rescue:C") (at 91.44 101.6 0) (unit 1) + (symbol (lib_id "sgikbd:sgi-db15") (at 214.63 92.71 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059dda7b2) - (property "Reference" "C2" (id 0) (at 92.075 99.06 0) + (uuid 0cc7ecdb-c608-4982-a337-7bb9453e2ba2) + (property "Reference" "U9" (id 0) (at 217.17 82.55 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "0.1uF" (id 1) (at 92.075 104.14 0) + (property "Value" "sgi-db15" (id 1) (at 218.44 93.98 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 92.4052 105.41 0) + (property "Footprint" "Connector_Dsub:DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 215.9 114.3 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 91.44 101.6 0) + (property "Datasheet" "" (id 3) (at 214.63 92.71 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 88d2c4b8-79f2-4e8b-9f70-b7e0ed9c70f8)) - (pin "2" (uuid a7531a95-7ca1-4f34-955e-18120cec99e6)) + (pin "0" (uuid d80fbabb-c0bb-4b02-9f43-3b42e1b6071f)) + (pin "1" (uuid 20215121-61c1-4761-bbbe-655de79a8f32)) + (pin "10" (uuid 246c72a4-47f9-4fac-8e6d-bb827e0675e7)) + (pin "11" (uuid 6292a753-c8b4-45e8-9462-56b88fc2d6a6)) + (pin "12" (uuid 9048a3e7-0b52-4a2b-a84f-028f6e74f29e)) + (pin "15" (uuid d0311b43-9920-46db-aa92-9acb3a1da20c)) + (pin "2" (uuid 494b5206-116e-4896-be51-a2a0fd3f6268)) + (pin "3" (uuid ac6ce856-0823-4130-87f0-d285514858d3)) + (pin "4" (uuid decf84c3-acd7-46fa-b88c-728c0e1d1ea9)) + (pin "5" (uuid bdb7ef06-0b9f-4884-be7b-7a92f35cec05)) + (pin "7" (uuid 98e0befa-cec5-48aa-a363-4978629b6e1c)) + (pin "8" (uuid 9a73bbef-de4f-4cbf-9699-f2cf21e85b89)) + (pin "9" (uuid 3c026488-48c6-4b6e-b631-76dbd681390e)) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 91.44 105.41 0) (unit 1) + (symbol (lib_id "power:-5V") (at 158.75 110.49 180) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059ddab03) - (property "Reference" "#PWR2" (id 0) (at 91.44 111.76 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 91.44 109.22 0)) - (property "Footprint" "" (id 2) (at 91.44 105.41 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 91.44 105.41 0) + (uuid 144f3d27-b8bc-4cbc-991c-e90ca8005234) + (property "Reference" "#PWR016" (id 0) (at 158.75 113.03 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 86dc7a78-7d51-4111-9eea-8a8f7977eb16)) - ) - - (symbol (lib_id "sgikbd-rescue:C") (at 83.82 101.6 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059ddadd5) - (property "Reference" "C1" (id 0) (at 84.455 99.06 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.1uF" (id 1) (at 84.455 104.14 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 84.7852 105.41 0) + (property "Value" "-5V" (id 1) (at 158.75 114.3 0)) + (property "Footprint" "" (id 2) (at 158.75 110.49 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 83.82 101.6 0) + (property "Datasheet" "" (id 3) (at 158.75 110.49 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 34cdc1c9-c9e2-44c4-9677-c1c7d7efd83d)) - (pin "2" (uuid c49d23ab-146d-4089-864f-2d22b5b414b9)) + (pin "1" (uuid d109973b-fa17-443f-97da-d845bba85d39)) ) - (symbol (lib_id "sgikbd-rescue:R") (at 147.32 90.17 0) (unit 1) + (symbol (lib_id "power:GND") (at 93.98 135.89 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059e40996) - (property "Reference" "R1" (id 0) (at 149.352 90.17 90)) - (property "Value" "4.7k" (id 1) (at 147.32 90.17 90)) - (property "Footprint" "" (id 2) (at 145.542 90.17 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 147.32 90.17 0) + (uuid 15ae2e55-1144-499a-bec0-f1850141cbdd) + (property "Reference" "#PWR01" (id 0) (at 93.98 142.24 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid f8bd6470-fafd-47f2-8ed5-9449988187ce)) - (pin "2" (uuid 22bb6c80-05a9-4d89-98b0-f4c23fe6c1ce)) - ) - - (symbol (lib_id "sgikbd-rescue:SW_Push") (at 152.4 93.98 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059e5c5fc) - (property "Reference" "SW1" (id 0) (at 153.67 91.44 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "RESET" (id 1) (at 152.4 95.504 0)) - (property "Footprint" "" (id 2) (at 152.4 88.9 0) + (property "Value" "GND" (id 1) (at 93.98 139.7 0)) + (property "Footprint" "" (id 2) (at 93.98 135.89 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 152.4 88.9 0) + (property "Datasheet" "" (id 3) (at 93.98 135.89 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid c106154f-d948-43e5-abfa-e1b96055d91b)) - (pin "2" (uuid c24d6ac8-802d-4df3-a210-9cb1f693e865)) + (pin "1" (uuid 93a95bd6-0ad0-4342-a9f2-02b7a5d33af5)) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 157.48 93.98 0) (unit 1) + (symbol (lib_id "power:GND") (at 193.04 128.27 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 00000000-0000-0000-0000-000059e5c78a) - (property "Reference" "#PWR13" (id 0) (at 157.48 100.33 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 157.48 97.79 0)) - (property "Footprint" "" (id 2) (at 157.48 93.98 0) + (uuid 354cd7d5-d3a4-4fb7-9047-a140fc1b833b) + (property "Reference" "#PWR018" (id 0) (at 193.04 134.62 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 157.48 93.98 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 7afa54c4-2181-41d3-81f7-39efc497ecae)) - ) - - (symbol (lib_id "Device:C_Polarized") (at 204.47 44.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 0506c95a-0384-4742-ad3c-3b37dfed6254) - (property "Reference" "C?" (id 0) (at 198.12 46.99 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.33uF" (id 1) (at 195.3254 44.5866 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 205.4352 48.26 0) + (property "Value" "GND" (id 1) (at 193.04 132.08 0)) + (property "Footprint" "" (id 2) (at 193.04 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 204.47 44.45 0) + (property "Datasheet" "" (id 3) (at 193.04 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 67d4c2b1-d80e-4f82-ba05-2f27231841d0)) - (pin "2" (uuid 52fefdc2-214b-4873-ad0c-6f78e663dc37)) + (pin "1" (uuid a435f053-45f6-4927-9efa-ec3370811353)) ) - (symbol (lib_id "sgikbd:sgi-db15") (at 232.41 81.28 0) (unit 1) + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 106.68 170.18 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 0cc7ecdb-c608-4982-a337-7bb9453e2ba2) - (property "Reference" "U?" (id 0) (at 233.68 91.44 0) + (uuid 3e11c344-1ce5-4a3e-bf53-5f14506f40b9) + (property "Reference" "H4" (id 0) (at 105.41 165.1 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "sgi-db15" (id 1) (at 236.2285 85.9088 90) - (effects (font (size 1.27 1.27)) (justify left)) + (property "Value" "mounting hole" (id 1) (at 100.33 175.26 0) + (effects (font (size 1.27 1.27)) (justify left) hide) ) - (property "Footprint" "Connector_Dsub:DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 233.68 102.87 0) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_Pad" (id 2) (at 106.68 170.18 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 232.41 81.28 0) + (property "Datasheet" "~" (id 3) (at 106.68 170.18 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 20215121-61c1-4761-bbbe-655de79a8f32)) - (pin "10" (uuid 246c72a4-47f9-4fac-8e6d-bb827e0675e7)) - (pin "11" (uuid 6292a753-c8b4-45e8-9462-56b88fc2d6a6)) - (pin "12" (uuid 9048a3e7-0b52-4a2b-a84f-028f6e74f29e)) - (pin "15" (uuid d0311b43-9920-46db-aa92-9acb3a1da20c)) - (pin "2" (uuid 494b5206-116e-4896-be51-a2a0fd3f6268)) - (pin "3" (uuid ac6ce856-0823-4130-87f0-d285514858d3)) - (pin "4" (uuid decf84c3-acd7-46fa-b88c-728c0e1d1ea9)) - (pin "5" (uuid bdb7ef06-0b9f-4884-be7b-7a92f35cec05)) - (pin "7" (uuid 98e0befa-cec5-48aa-a363-4978629b6e1c)) - (pin "8" (uuid 9a73bbef-de4f-4cbf-9699-f2cf21e85b89)) - (pin "9" (uuid 3c026488-48c6-4b6e-b631-76dbd681390e)) + (pin "1" (uuid 9da0bab8-a87c-4f03-8f89-5c018a77222f)) ) - (symbol (lib_id "Device:C_Polarized") (at 222.25 54.61 0) (mirror y) (unit 1) + (symbol (lib_id "Connector:AVR-ISP-6") (at 154.94 59.69 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) - (uuid 107ce960-81c7-4fdf-aa5e-bfad9a082e8a) - (property "Reference" "C?" (id 0) (at 228.6 52.07 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "0.33uF" (id 1) (at 231.4976 54.6254 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 221.2848 58.42 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 222.25 54.61 0) - (effects (font (size 1.27 1.27)) hide) + (uuid 438e4948-d1a2-4c51-b9a8-0de1a18f8384) + (property "Reference" "J1" (id 0) (at 149.86 48.26 0) + (effects (font (size 1.27 1.27)) (justify right)) ) - (pin "1" (uuid 48eb6da5-ead0-4bb4-b4fc-b691b17c81c2)) - (pin "2" (uuid f3b810e3-63f9-4325-8c22-49f4f1c7e722)) - ) - - (symbol (lib_id "power:-5V") (at 176.53 99.06 180) (unit 1) - (in_bom yes) (on_board yes) - (uuid 144f3d27-b8bc-4cbc-991c-e90ca8005234) - (property "Reference" "#PWR?" (id 0) (at 176.53 101.6 0) - (effects (font (size 1.27 1.27)) hide) + (property "Value" "AVR-ISP" (id 1) (at 153.67 58.42 0) + (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "-5V" (id 1) (at 176.53 102.87 0)) - (property "Footprint" "" (id 2) (at 176.53 99.06 0) + (property "Footprint" "Connector_IDC:IDC-Header_2x03_P2.54mm_Vertical" (id 2) (at 161.29 58.42 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 176.53 99.06 0) + (property "Datasheet" " ~" (id 3) (at 187.325 73.66 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid d109973b-fa17-443f-97da-d845bba85d39)) + (pin "1" (uuid 9f09d990-1176-4ae3-8252-aae49ea42a28)) + (pin "2" (uuid e1ad68fb-4113-4463-9d4f-fde4bf7fb2b3)) + (pin "3" (uuid 343b685e-c563-4da6-9489-b9d2356881bf)) + (pin "4" (uuid 2b56edd7-45c2-482a-aec1-dffd96ff1a19)) + (pin "5" (uuid 25139a98-018f-4f25-8b80-f49b92a1b36e)) + (pin "6" (uuid ea4c57f3-a1cd-4206-94e4-84a1918403f7)) ) - (symbol (lib_id "Connector:AVR-ISP-6") (at 87.63 48.26 0) (mirror y) (unit 1) - (in_bom yes) (on_board yes) - (uuid 438e4948-d1a2-4c51-b9a8-0de1a18f8384) - (property "Reference" "J?" (id 0) (at 82.55 36.83 0) - (effects (font (size 1.27 1.27)) (justify right)) + (symbol (lib_id "Device:C") (at 193.04 124.46 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 452af974-0223-466b-9014-a06d0858dff0) + (property "Reference" "C6" (id 0) (at 194.31 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "AVR-ISP" (id 1) (at 86.36 46.99 0) - (effects (font (size 1.27 1.27)) (justify right)) + (property "Value" "0.1uF" (id 1) (at 194.31 127 0) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 93.98 46.99 90) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 194.0052 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" " ~" (id 3) (at 120.015 62.23 0) + (property "Datasheet" "~" (id 3) (at 193.04 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 9f09d990-1176-4ae3-8252-aae49ea42a28)) - (pin "2" (uuid e1ad68fb-4113-4463-9d4f-fde4bf7fb2b3)) - (pin "3" (uuid 343b685e-c563-4da6-9489-b9d2356881bf)) - (pin "4" (uuid 2b56edd7-45c2-482a-aec1-dffd96ff1a19)) - (pin "5" (uuid 25139a98-018f-4f25-8b80-f49b92a1b36e)) - (pin "6" (uuid ea4c57f3-a1cd-4206-94e4-84a1918403f7)) + (pin "1" (uuid b5ad09cf-5215-4af5-a99a-221889836dd3)) + (pin "2" (uuid 8f9baedb-c39b-4ff9-ac39-66ce41a5f7f8)) ) - (symbol (lib_id "Amplifier_Operational:LM358") (at 179.07 73.66 0) (unit 2) + (symbol (lib_id "Amplifier_Operational:LM358") (at 161.29 85.09 0) (unit 2) (in_bom yes) (on_board yes) (uuid 4b35f29d-7657-43e1-85cb-8c17a652696b) - (property "Reference" "U?" (id 0) (at 180.34 69.85 0)) - (property "Value" "LM358" (id 1) (at 181.61 77.47 0)) - (property "Footprint" "" (id 2) (at 179.07 73.66 0) + (property "Reference" "U4" (id 0) (at 162.56 81.28 0)) + (property "Value" "LM358" (id 1) (at 163.83 88.9 0)) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 161.29 85.09 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" (id 3) (at 179.07 73.66 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" (id 3) (at 161.29 85.09 0) (effects (font (size 1.27 1.27)) hide) ) (pin "5" (uuid 6bc3f912-4802-4cf0-a751-caa83f74c7be)) @@ -1945,138 +2146,173 @@ (pin "7" (uuid 8c7ee2e5-07a7-48f7-b7d4-f168c00ac4f0)) ) - (symbol (lib_id "power:VCC") (at 231.14 38.1 0) (unit 1) + (symbol (lib_id "power:VCC") (at 209.55 50.8 0) (unit 1) (in_bom yes) (on_board yes) (uuid 4d35aed6-ceeb-49eb-b775-7025ff0e4bd9) - (property "Reference" "#PWR?" (id 0) (at 231.14 41.91 0) + (property "Reference" "#PWR020" (id 0) (at 209.55 54.61 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 231.14 34.29 0)) - (property "Footprint" "" (id 2) (at 231.14 38.1 0) + (property "Value" "VCC" (id 1) (at 209.55 46.99 0)) + (property "Footprint" "" (id 2) (at 209.55 50.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 231.14 38.1 0) + (property "Datasheet" "" (id 3) (at 209.55 50.8 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 585ca833-7a29-46b9-bb00-08f824efac69)) ) - (symbol (lib_id "power:VCC") (at 176.53 83.82 0) (unit 1) + (symbol (lib_id "power:VCC") (at 158.75 95.25 0) (unit 1) (in_bom yes) (on_board yes) (uuid 4d870650-6a07-4c15-8544-848e04d67dd4) - (property "Reference" "#PWR?" (id 0) (at 176.53 87.63 0) + (property "Reference" "#PWR015" (id 0) (at 158.75 99.06 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 176.53 80.01 0)) - (property "Footprint" "" (id 2) (at 176.53 83.82 0) + (property "Value" "VCC" (id 1) (at 158.75 91.44 0)) + (property "Footprint" "" (id 2) (at 158.75 95.25 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 176.53 83.82 0) + (property "Datasheet" "" (id 3) (at 158.75 95.25 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 8d3ec164-0b0d-4d30-9724-b307597b1fdf)) ) - (symbol (lib_id "sgikbd:ps2conn") (at 158.75 125.73 270) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) + (symbol (lib_id "Device:C") (at 185.42 124.46 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 5038fcea-e3ed-4f7d-84aa-2795dd8c2d17) + (property "Reference" "C3" (id 0) (at 186.69 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 186.69 127 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 186.3852 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 185.42 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e7f30478-b50e-41f1-85e4-8f0e4b70e34d)) + (pin "2" (uuid c89fecef-e0c1-458e-bd12-12d4f80aeb9f)) + ) + + (symbol (lib_name "ps2conn_1") (lib_id "sgikbd:ps2conn") (at 121.92 137.16 270) (unit 1) + (in_bom yes) (on_board yes) (uuid 5084e1b7-7713-4268-86a1-6f39cdfef5e5) - (property "Reference" "U?" (id 0) (at 160.02 129.54 0) + (property "Reference" "U2" (id 0) (at 125.73 128.27 90) (effects (font (size 1.524 1.524)) (justify left)) ) - (property "Value" "ps2kbd" (id 1) (at 156.21 129.54 0) + (property "Value" "ps2kbd" (id 1) (at 118.11 142.24 90) (effects (font (size 1.524 1.524)) (justify left)) ) - (property "Footprint" "" (id 2) (at 158.75 125.73 0) + (property "Footprint" "sgikbd:minidin6" (id 2) (at 121.92 137.16 0) (effects (font (size 1.524 1.524)) hide) ) - (property "Datasheet" "" (id 3) (at 158.75 125.73 0) + (property "Datasheet" "" (id 3) (at 121.92 137.16 0) (effects (font (size 1.524 1.524)) hide) ) + (pin "0" (uuid c8493cb7-74d5-459d-8a73-f31cfdfc37c1)) (pin "1" (uuid 5e6ebe89-b267-46e5-bde6-ae8203b06a03)) (pin "3" (uuid acdc77d8-f85e-4371-8626-d1529acce405)) (pin "4" (uuid 20f78ec9-3c86-4f3e-9652-c63678ba39cf)) (pin "5" (uuid 5e3c96d1-dcfb-46e8-ba60-e1a1acf02e57)) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 168.91 125.73 0) (unit 1) + (symbol (lib_id "Amplifier_Operational:LM358") (at 161.29 102.87 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 54b6cee5-2362-4b79-834b-4f432b1c87df) - (property "Reference" "#PWR?" (id 0) (at 168.91 132.08 0) + (uuid 55d75fe9-9710-4cd3-9402-7930a2eb266f) + (property "Reference" "U4" (id 0) (at 162.56 99.06 0)) + (property "Value" "LM358" (id 1) (at 163.83 106.68 0)) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 161.29 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 168.91 129.54 0)) - (property "Footprint" "" (id 2) (at 168.91 125.73 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" (id 3) (at 161.29 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 056fae79-0816-4bf0-a584-9d48fc092d77)) + (pin "2" (uuid 334d5b70-101a-493d-ae4b-c566bfbb693a)) + (pin "3" (uuid 481af24b-094f-4a05-b979-dc84d311a7b8)) + ) + + (symbol (lib_id "Diode:1N4148W") (at 165.1 119.38 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 58d0a2ee-1597-43c4-8fca-3381724b04be) + (property "Reference" "D1" (id 0) (at 165.1 116.84 0)) + (property "Value" "1N4148" (id 1) (at 165.1 121.92 0)) + (property "Footprint" "Diode_SMD:D_SOD-123" (id 2) (at 165.1 123.825 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 168.91 125.73 0) + (property "Datasheet" "https://www.vishay.com/docs/85748/1n4148w.pdf" (id 3) (at 165.1 119.38 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 3611a03d-82c9-4b29-a880-15b8fa6412b4)) + (pin "1" (uuid 849c4100-23fd-4521-92ab-68aab1349e43)) + (pin "2" (uuid 4c23a592-e8d1-4d5f-a6c6-33e0d5841a1b)) ) - (symbol (lib_id "Amplifier_Operational:LM358") (at 179.07 91.44 0) (unit 1) + (symbol (lib_id "Device:C") (at 124.46 82.55 90) (unit 1) (in_bom yes) (on_board yes) - (uuid 55d75fe9-9710-4cd3-9402-7930a2eb266f) - (property "Reference" "U?" (id 0) (at 180.34 87.63 0)) - (property "Value" "LM358" (id 1) (at 181.61 95.25 0)) - (property "Footprint" "" (id 2) (at 179.07 91.44 0) + (uuid 670fa5bc-349c-40e8-b4f9-a4f629eb4b3b) + (property "Reference" "C1" (id 0) (at 127 81.28 90)) + (property "Value" "22pF" (id 1) (at 120.65 81.28 90)) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 128.27 81.5848 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" (id 3) (at 179.07 91.44 0) + (property "Datasheet" "~" (id 3) (at 124.46 82.55 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid 056fae79-0816-4bf0-a584-9d48fc092d77)) - (pin "2" (uuid 334d5b70-101a-493d-ae4b-c566bfbb693a)) - (pin "3" (uuid 481af24b-094f-4a05-b979-dc84d311a7b8)) + (pin "1" (uuid dde357ac-ba76-4056-b9fe-ca2aa5db1d04)) + (pin "2" (uuid b68bf06e-258d-4c1a-9340-4fc53f8ac523)) ) - (symbol (lib_id "power:VCC") (at 91.44 97.79 0) (unit 1) + (symbol (lib_id "power:VCC") (at 193.04 120.65 0) (unit 1) (in_bom yes) (on_board yes) (uuid 6c841f0c-da1a-4c48-9e4d-c8227fac9d13) - (property "Reference" "#PWR?" (id 0) (at 91.44 101.6 0) + (property "Reference" "#PWR017" (id 0) (at 193.04 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 91.44 93.98 0)) - (property "Footprint" "" (id 2) (at 91.44 97.79 0) + (property "Value" "VCC" (id 1) (at 193.04 116.84 0)) + (property "Footprint" "" (id 2) (at 193.04 120.65 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 91.44 97.79 0) + (property "Datasheet" "" (id 3) (at 193.04 120.65 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1ad8f4de-0276-4865-a668-92e6b8b34559)) ) - (symbol (lib_id "power:VCC") (at 184.15 125.73 0) (unit 1) + (symbol (lib_id "power:VCC") (at 147.32 137.16 0) (unit 1) (in_bom yes) (on_board yes) (uuid 6dce018e-1035-452a-84b2-f460ffd4fb17) - (property "Reference" "#PWR?" (id 0) (at 184.15 129.54 0) + (property "Reference" "#PWR011" (id 0) (at 147.32 140.97 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 184.15 121.92 0)) - (property "Footprint" "" (id 2) (at 184.15 125.73 0) + (property "Value" "VCC" (id 1) (at 147.32 133.35 0)) + (property "Footprint" "" (id 2) (at 147.32 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 184.15 125.73 0) + (property "Datasheet" "" (id 3) (at 147.32 137.16 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 89b42dbd-d86a-4d0a-8d0a-bcf079ec5680)) ) - (symbol (lib_id "sgikbd:sgi-db9") (at 215.9 81.28 0) (unit 1) + (symbol (lib_id "sgikbd:sgi-db9") (at 198.12 92.71 0) (unit 1) (in_bom yes) (on_board yes) (uuid 6e637974-8ae9-44b7-bba8-5ed9ea4e4b33) - (property "Reference" "U?" (id 0) (at 217.17 91.44 0) + (property "Reference" "U8" (id 0) (at 200.66 82.55 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "sgi-db9" (id 1) (at 219.71 85.09 90) + (property "Value" "sgi-db9" (id 1) (at 201.93 92.71 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Connector_Dsub:DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 215.9 102.87 0) + (property "Footprint" "Connector_Dsub:DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 198.12 114.3 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 215.9 81.28 0) + (property "Datasheet" "" (id 3) (at 198.12 92.71 0) (effects (font (size 1.27 1.27)) hide) ) + (pin "0" (uuid 8eba3d8e-6821-42c3-9f8d-1bae572a7b6c)) (pin "1" (uuid 369d485d-9edb-4086-8eef-07dcc9a5f091)) (pin "2" (uuid ed209286-f51e-49e7-856e-1d260a0948cb)) (pin "3" (uuid 374c123f-1047-4700-8152-adb1e61970d1)) @@ -2088,15 +2324,15 @@ (pin "9" (uuid ef485334-d0e4-4cfd-8a70-2e911c61bd90)) ) - (symbol (lib_id "Regulator_Linear:L7805") (at 213.36 39.37 0) (unit 1) + (symbol (lib_id "Regulator_Linear:L7805") (at 195.58 50.8 0) (unit 1) (in_bom yes) (on_board yes) (uuid 6e6a56fd-7c82-4588-8eb4-8d1102acf415) - (property "Reference" "U?" (id 0) (at 209.55 35.56 0)) - (property "Value" "L7805" (id 1) (at 217.17 35.56 0)) - (property "Footprint" "" (id 2) (at 213.995 43.18 0) + (property "Reference" "U6" (id 0) (at 191.77 46.99 0)) + (property "Value" "L7805" (id 1) (at 199.39 46.99 0)) + (property "Footprint" "Package_TO_SOT_SMD:TO-252-3_TabPin2" (id 2) (at 196.215 54.61 0) (effects (font (size 1.27 1.27) italic) (justify left) hide) ) - (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/41/4f/b3/b0/12/d4/47/88/CD00000444.pdf/files/CD00000444.pdf/jcr:content/translations/en.CD00000444.pdf" (id 3) (at 213.36 40.64 0) + (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/41/4f/b3/b0/12/d4/47/88/CD00000444.pdf/files/CD00000444.pdf/jcr:content/translations/en.CD00000444.pdf" (id 3) (at 195.58 52.07 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 446ce802-4df6-4388-b7f8-5ae8168ce796)) @@ -2104,15 +2340,31 @@ (pin "3" (uuid a0f072bb-0652-4120-ad33-d69336ad9d70)) ) - (symbol (lib_id "Regulator_Linear:L7905") (at 213.36 59.69 0) (unit 1) + (symbol (lib_id "power:GND") (at 91.44 172.72 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 750d4d8e-20ec-4af7-a18d-e0f5b3351080) + (property "Reference" "#PWR0101" (id 0) (at 91.44 179.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 91.44 176.53 0)) + (property "Footprint" "" (id 2) (at 91.44 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 91.44 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4aeb358f-fcf8-4ae6-801c-d8a3ef07a16f)) + ) + + (symbol (lib_id "Regulator_Linear:L7905") (at 195.58 71.12 0) (unit 1) (in_bom yes) (on_board yes) (uuid 753bdd7e-d169-4b8a-a968-e2d59f6406ae) - (property "Reference" "U?" (id 0) (at 209.55 63.5 0)) - (property "Value" "L7905" (id 1) (at 217.17 63.5 0)) - (property "Footprint" "" (id 2) (at 213.36 64.77 0) + (property "Reference" "U7" (id 0) (at 191.77 74.93 0)) + (property "Value" "L7905" (id 1) (at 199.39 74.93 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-89-3_Handsoldering" (id 2) (at 195.58 76.2 0) (effects (font (size 1.27 1.27) italic) hide) ) - (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/c9/16/86/41/c7/2b/45/f2/CD00000450.pdf/files/CD00000450.pdf/jcr:content/translations/en.CD00000450.pdf" (id 3) (at 213.36 59.69 0) + (property "Datasheet" "http://www.st.com/content/ccc/resource/technical/document/datasheet/c9/16/86/41/c7/2b/45/f2/CD00000450.pdf/files/CD00000450.pdf/jcr:content/translations/en.CD00000450.pdf" (id 3) (at 195.58 71.12 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 59173d8c-8da9-4057-b1fb-a6b5730409ee)) @@ -2120,223 +2372,377 @@ (pin "3" (uuid 3d6578a8-490f-47df-ab69-f3a55b7a5388)) ) - (symbol (lib_id "power:VCC") (at 90.17 35.56 0) (unit 1) + (symbol (lib_id "Switch:SW_Push") (at 128.27 105.41 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 7d64a0a0-bf95-4984-9ed3-575a5d1e9a9e) + (property "Reference" "SW1" (id 0) (at 128.27 100.33 0)) + (property "Value" "RESET" (id 1) (at 128.27 107.95 0)) + (property "Footprint" "Button_Switch_SMD:SW_Push_1P1T_NO_6x6mm_H9.5mm" (id 2) (at 128.27 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 128.27 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b439205b-7f8e-4c80-807a-a5da3f38daf4)) + (pin "2" (uuid 7bf0cf0d-0058-4aee-ad50-abf68f0148b7)) + ) + + (symbol (lib_id "power:GND") (at 157.48 69.85 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 80699c7c-4357-46ee-8176-9bcdeb6d2905) + (property "Reference" "#PWR013" (id 0) (at 157.48 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 157.48 73.66 0)) + (property "Footprint" "" (id 2) (at 157.48 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 157.48 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a5246b2a-f0a0-4b6e-8864-c33465a22cab)) + ) + + (symbol (lib_id "power:VCC") (at 157.48 46.99 0) (unit 1) (in_bom yes) (on_board yes) (uuid 8293019a-196b-418b-8420-f7ea58fa55b0) - (property "Reference" "#PWR?" (id 0) (at 90.17 39.37 0) + (property "Reference" "#PWR012" (id 0) (at 157.48 50.8 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 90.17 31.75 0)) - (property "Footprint" "" (id 2) (at 90.17 35.56 0) + (property "Value" "VCC" (id 1) (at 157.48 43.18 0)) + (property "Footprint" "" (id 2) (at 157.48 46.99 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 90.17 35.56 0) + (property "Datasheet" "" (id 3) (at 157.48 46.99 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 10684eb6-84a2-4f29-8421-e63bde0a8aef)) ) - (symbol (lib_id "power:VCC") (at 166.37 125.73 0) (unit 1) + (symbol (lib_id "power:VCC") (at 129.54 137.16 0) (unit 1) (in_bom yes) (on_board yes) (uuid 857054d3-b556-4944-af3d-847d9b43c1b1) - (property "Reference" "#PWR?" (id 0) (at 166.37 129.54 0) + (property "Reference" "#PWR06" (id 0) (at 129.54 140.97 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 166.37 121.92 0)) - (property "Footprint" "" (id 2) (at 166.37 125.73 0) + (property "Value" "VCC" (id 1) (at 129.54 133.35 0)) + (property "Footprint" "" (id 2) (at 129.54 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 166.37 125.73 0) + (property "Datasheet" "" (id 3) (at 129.54 137.16 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 2f0ba1fb-4832-4ab4-8803-67743083a048)) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 232.41 92.71 0) (unit 1) + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 101.6 170.18 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 87ad6938-c2f6-4ab0-bc4d-3cc0c59f827c) - (property "Reference" "#PWR?" (id 0) (at 232.41 99.06 0) + (uuid 8b442b1c-1259-4a43-9069-2671d711ed2b) + (property "Reference" "H3" (id 0) (at 100.33 165.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "mounting hole" (id 1) (at 95.25 175.26 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_Pad" (id 2) (at 101.6 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 101.6 170.18 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 232.41 96.52 0)) - (property "Footprint" "" (id 2) (at 232.41 92.71 0) + (pin "1" (uuid 67b96c3a-85b8-4d3e-a55e-5810eb323ef6)) + ) + + (symbol (lib_id "Device:C") (at 204.47 66.04 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 8d4192be-0160-4cd8-ba85-7c7bfff73c0a) + (property "Reference" "C9" (id 0) (at 205.74 63.5 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 205.74 68.58 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 205.4352 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 232.41 92.71 0) + (property "Datasheet" "~" (id 3) (at 204.47 66.04 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid a31c01ad-8d9c-4c40-8e07-1491fe43c032)) + (pin "1" (uuid 656a28cd-0b0d-4083-a92e-f1e6888b4cdb)) + (pin "2" (uuid 66d0106c-4f3f-4949-a19f-6e44b1f8c002)) ) - (symbol (lib_id "sgikbd-rescue:R") (at 243.84 81.28 0) (unit 1) + (symbol (lib_id "Device:C") (at 200.66 124.46 0) (unit 1) (in_bom yes) (on_board yes) - (uuid 8bd18b46-e3d9-483f-be70-173ac55b5653) - (property "Reference" "R?" (id 0) (at 245.872 81.28 90)) - (property "Value" "4.7k" (id 1) (at 243.84 81.28 90)) - (property "Footprint" "" (id 2) (at 242.062 81.28 90) + (uuid 9b64286b-33d7-4acd-9b18-19bb51e23f39) + (property "Reference" "C7" (id 0) (at 201.93 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "0.1uF" (id 1) (at 201.93 127 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 201.6252 128.27 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 243.84 81.28 0) + (property "Datasheet" "~" (id 3) (at 200.66 124.46 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid e84cfc65-2fa0-4636-96e7-536fadf1b517)) - (pin "2" (uuid 286582b6-89c0-4057-bb8c-9f309a4fb459)) + (pin "1" (uuid 3addb435-dbb7-41ab-9274-f6d9b2f7b50d)) + (pin "2" (uuid 40c4c33e-ace6-4274-a8ce-a4c4d16d28d1)) ) - (symbol (lib_id "Device:C_Polarized") (at 204.47 54.61 0) (unit 1) + (symbol (lib_id "Device:C_Polarized") (at 186.69 66.04 0) (unit 1) (in_bom yes) (on_board yes) (uuid 9cca8fbb-8e16-4e55-808d-86cb5ae24248) - (property "Reference" "C?" (id 0) (at 198.12 52.07 0) + (property "Reference" "C5" (id 0) (at 180.34 63.5 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "0.33uF" (id 1) (at 195.2224 54.6254 0) + (property "Value" "0.33uF" (id 1) (at 177.4424 66.0554 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 205.4352 58.42 0) + (property "Footprint" "Capacitor_SMD:CP_Elec_4x5.4" (id 2) (at 187.6552 69.85 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 204.47 54.61 0) + (property "Datasheet" "~" (id 3) (at 186.69 66.04 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid d4e52b51-904c-49fc-a6c4-bc9a1de29a7f)) (pin "2" (uuid 6efc0dc2-96b6-4eb7-a536-549c68633566)) ) - (symbol (lib_id "power:VCC") (at 124.46 48.26 0) (unit 1) + (symbol (lib_id "power:GND") (at 214.63 104.14 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9d9098f9-1ca3-45c0-8104-23357d937a68) + (property "Reference" "#PWR022" (id 0) (at 214.63 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 214.63 107.95 0)) + (property "Footprint" "" (id 2) (at 214.63 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 214.63 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d8952824-dfb2-4d7a-a566-67a99277d076)) + ) + + (symbol (lib_id "power:VCC") (at 95.25 59.69 0) (unit 1) (in_bom yes) (on_board yes) (uuid 9e18ec8c-2e54-406c-a910-0cd97b016449) - (property "Reference" "#PWR?" (id 0) (at 124.46 52.07 0) + (property "Reference" "#PWR02" (id 0) (at 95.25 63.5 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 124.46 44.45 0)) - (property "Footprint" "" (id 2) (at 124.46 48.26 0) + (property "Value" "VCC" (id 1) (at 95.25 55.88 0)) + (property "Footprint" "" (id 2) (at 95.25 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 124.46 48.26 0) + (property "Datasheet" "" (id 3) (at 95.25 59.69 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 7bcd9bf2-f66d-4f9e-a23f-f0c4d5db5d4a)) ) - (symbol (lib_id "power:VCC") (at 243.84 77.47 0) (unit 1) + (symbol (lib_id "Device:R") (at 118.11 101.6 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 9f5e77ab-7b0b-4c0a-8e05-d8fa4ebb8108) + (property "Reference" "R1" (id 0) (at 120.318 102.8393 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 118.173 103.6973 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" (id 2) (at 116.332 101.6 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 118.11 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 86ade26e-1eb4-4bc9-9c6f-3978702d8764)) + (pin "2" (uuid 44d14a2f-badb-4b22-88d1-e2d53cfabacd)) + ) + + (symbol (lib_id "Device:R") (at 147.32 109.22 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid a227275f-76af-4105-aa07-c3848725e682) + (property "Reference" "R3" (id 0) (at 149.528 110.4593 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 147.383 111.3173 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" (id 2) (at 145.542 109.22 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 147.32 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 72f0d7f8-cfb4-4d38-9f0c-2f0ace91ea18)) + (pin "2" (uuid 68b0dee5-e1fe-4523-bf25-a665ceae661b)) + ) + + (symbol (lib_id "power:VCC") (at 147.32 97.79 0) (unit 1) (in_bom yes) (on_board yes) (uuid a961128f-f53c-4b9c-9217-00ef0348c816) - (property "Reference" "#PWR?" (id 0) (at 243.84 81.28 0) + (property "Reference" "#PWR09" (id 0) (at 147.32 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 243.84 73.66 0)) - (property "Footprint" "" (id 2) (at 243.84 77.47 0) + (property "Value" "VCC" (id 1) (at 147.32 93.98 0)) + (property "Footprint" "" (id 2) (at 147.32 97.79 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 243.84 77.47 0) + (property "Datasheet" "" (id 3) (at 147.32 97.79 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 341790ef-366e-4124-b028-6d1b9eece322)) ) - (symbol (lib_id "sgikbd:ps2conn") (at 176.53 125.73 270) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 91.44 170.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid aef5cb3b-eb2f-4074-a8eb-2a0846eae1c3) + (property "Reference" "H1" (id 0) (at 90.17 165.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "mounting hole" (id 1) (at 91.44 162.56 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_Pad" (id 2) (at 91.44 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 91.44 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 087b021b-656b-4672-b1dd-d89f037b6508)) + ) + + (symbol (lib_id "Device:R") (at 157.48 124.46 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid b5996c17-acf1-48be-93fe-ca7273a1f4f6) + (property "Reference" "R4" (id 0) (at 159.688 125.6993 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 157.543 126.5573 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" (id 2) (at 155.702 124.46 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 157.48 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bd9a498f-ffbd-4a01-bbfe-10a9869dda79)) + (pin "2" (uuid 995a68d1-d841-43cf-9af8-42bb7e84a100)) + ) + + (symbol (lib_id "Mechanical:MountingHole_Pad") (at 96.52 170.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid bdb29f75-e5e2-49d5-8993-37c1dac7b09d) + (property "Reference" "H2" (id 0) (at 95.25 165.1 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "mounting hole" (id 1) (at 90.17 175.26 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Footprint" "MountingHole:MountingHole_3.2mm_M3_Pad" (id 2) (at 96.52 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 96.52 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d3271a18-cf48-42d4-8dce-dfa7d6732ce3)) + ) + + (symbol (lib_id "sgikbd:ps2conn") (at 139.7 137.16 270) (unit 1) + (in_bom yes) (on_board yes) (uuid bfca60ae-f58a-4cb2-aed7-41c55e291737) - (property "Reference" "U?" (id 0) (at 177.8 129.54 0) + (property "Reference" "U3" (id 0) (at 143.51 128.27 90) (effects (font (size 1.524 1.524)) (justify left)) ) - (property "Value" "ps2mouse" (id 1) (at 173.99 129.54 0) + (property "Value" "ps2aux" (id 1) (at 135.89 142.24 90) (effects (font (size 1.524 1.524)) (justify left)) ) - (property "Footprint" "" (id 2) (at 176.53 125.73 0) + (property "Footprint" "sgikbd:minidin6" (id 2) (at 139.7 137.16 0) (effects (font (size 1.524 1.524)) hide) ) - (property "Datasheet" "" (id 3) (at 176.53 125.73 0) + (property "Datasheet" "" (id 3) (at 139.7 137.16 0) (effects (font (size 1.524 1.524)) hide) ) + (pin "0" (uuid a795d2aa-b395-4911-a768-e98e7f3f6ff2)) (pin "1" (uuid 1a568172-5804-456b-9d56-b8bd51a3941a)) (pin "3" (uuid 784d87eb-cbfc-4a9e-a464-392c47e0e084)) (pin "4" (uuid 5fd12829-0309-418c-bc95-06797a3af978)) (pin "5" (uuid 154ad1b8-6dac-4c65-b39e-d36cd1066e5f)) ) - (symbol (lib_id "Device:C_Polarized") (at 222.25 44.45 0) (mirror y) (unit 1) + (symbol (lib_id "Device:C_Polarized") (at 204.47 55.88 0) (mirror y) (unit 1) (in_bom yes) (on_board yes) (uuid bfd90246-dacb-48a4-a73c-96a067e60b27) - (property "Reference" "C?" (id 0) (at 228.6 46.99 0) + (property "Reference" "C8" (id 0) (at 210.82 58.42 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "0.33uF" (id 1) (at 231.3946 44.5866 0) + (property "Value" "0.33uF" (id 1) (at 213.6146 56.0166 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 221.2848 48.26 0) + (property "Footprint" "Capacitor_SMD:CP_Elec_4x5.4" (id 2) (at 203.5048 59.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 222.25 44.45 0) + (property "Datasheet" "~" (id 3) (at 204.47 55.88 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 88eb9450-c3e1-46c2-ac28-f6b7d746f2a7)) (pin "2" (uuid 4f149d4c-5417-471b-bfaf-6bbafe3c83af)) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 90.17 58.42 0) (unit 1) + (symbol (lib_id "Device:R") (at 147.32 101.6 0) (unit 1) (in_bom yes) (on_board yes) - (uuid c23bc9da-b66f-4b33-be2b-593ebc010056) - (property "Reference" "#PWR?" (id 0) (at 90.17 64.77 0) - (effects (font (size 1.27 1.27)) hide) + (uuid cb6fcf3c-6f65-4930-9ba7-2e8a2db291ba) + (property "Reference" "R2" (id 0) (at 149.528 102.8393 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "4.7k" (id 1) (at 147.383 103.6973 90) + (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "GND" (id 1) (at 90.17 62.23 0)) - (property "Footprint" "" (id 2) (at 90.17 58.42 0) + (property "Footprint" "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder" (id 2) (at 145.542 101.6 90) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 90.17 58.42 0) + (property "Datasheet" "~" (id 3) (at 147.32 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid b458db46-4b05-4b56-a73b-63a928455850)) + (pin "1" (uuid b000c6c6-635a-4a26-a1ee-116087aa56dd)) + (pin "2" (uuid 9012da9a-310a-498f-bc7d-80fa4a875df1)) ) - (symbol (lib_id "Amplifier_Operational:LM358") (at 179.07 91.44 0) (unit 3) + (symbol (lib_id "Amplifier_Operational:LM358") (at 161.29 102.87 0) (unit 3) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid cb7c6d7a-9797-492c-8df1-7286fcb154a3) - (property "Reference" "U?" (id 0) (at 177.8 90.1699 0) + (property "Reference" "U4" (id 0) (at 160.02 101.5999 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) - (property "Value" "LM358" (id 1) (at 177.8 91.4399 0) + (property "Value" "LM358" (id 1) (at 160.02 102.8699 0) (effects (font (size 1.27 1.27)) (justify left) hide) ) - (property "Footprint" "" (id 2) (at 179.07 91.44 0) + (property "Footprint" "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm" (id 2) (at 161.29 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" (id 3) (at 179.07 91.44 0) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/lm2904-n.pdf" (id 3) (at 161.29 102.87 0) (effects (font (size 1.27 1.27)) hide) ) (pin "4" (uuid ef0fd511-e5bd-4c94-a7fa-c4bb5ee9707c)) (pin "8" (uuid feeaa19d-6baa-4a27-b11b-4b8081725497)) ) - (symbol (lib_id "sgikbd-rescue:R") (at 243.84 88.9 0) (unit 1) + (symbol (lib_id "MCU_Microchip_ATmega:ATmega328PB-A") (at 93.98 97.79 0) (unit 1) (in_bom yes) (on_board yes) - (uuid cb7fab42-e488-463f-8b73-5bc3992d5e53) - (property "Reference" "R?" (id 0) (at 245.872 88.9 90)) - (property "Value" "4.7k" (id 1) (at 243.84 88.9 90)) - (property "Footprint" "" (id 2) (at 242.062 88.9 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 243.84 88.9 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid bd17a7a3-d82d-474e-a77b-ca18d711437e)) - (pin "2" (uuid 18d23f77-4868-4a85-a30e-6b827c7dd4dc)) - ) - - (symbol (lib_id "MCU_Microchip_ATmega:ATmega328PB-A") (at 123.19 86.36 0) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) (uuid ce1871bd-0dbe-421e-af45-ef8b1716fbf2) - (property "Reference" "U?" (id 0) (at 125.2094 124.46 0) + (property "Reference" "U1" (id 0) (at 95.9994 135.89 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "ATmega328PB-A" (id 1) (at 125.2094 127 0) + (property "Value" "ATmega328PB" (id 1) (at 82.55 97.79 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Package_QFP:TQFP-32_7x7mm_P0.8mm" (id 2) (at 123.19 86.36 0) + (property "Footprint" "Package_QFP:TQFP-32_7x7mm_P0.8mm" (id 2) (at 93.98 97.79 0) (effects (font (size 1.27 1.27) italic) hide) ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/40001906C.pdf" (id 3) (at 123.19 86.36 0) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/40001906C.pdf" (id 3) (at 93.98 97.79 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 2a3045fd-49b2-4e17-94bf-b5864659b054)) @@ -2373,37 +2779,89 @@ (pin "9" (uuid 480d5422-6d33-4319-a5c2-9ce1cd04fa90)) ) - (symbol (lib_id "power:VCC") (at 147.32 86.36 0) (unit 1) + (symbol (lib_id "Device:Crystal") (at 119.38 86.36 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid d2539eb6-6f4d-42f9-8b6e-ba504b13c95f) + (property "Reference" "Y1" (id 0) (at 115.57 88.9 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Value" "3.6864MHz" (id 1) (at 123.19 86.36 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "Crystal:Crystal_SMD_HC49-SD" (id 2) (at 119.38 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 119.38 86.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c758c581-59e9-4fb3-8d2f-dc65bcc472f8)) + (pin "2" (uuid 52f1b4f6-dd14-4f7a-8c7e-8e2486c55fbd)) + ) + + (symbol (lib_id "power:VCC") (at 118.11 97.79 0) (unit 1) (in_bom yes) (on_board yes) (uuid d2d09b12-f289-4c5f-ae54-7aa6559d3d8e) - (property "Reference" "#PWR?" (id 0) (at 147.32 90.17 0) + (property "Reference" "#PWR04" (id 0) (at 118.11 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "VCC" (id 1) (at 147.32 82.55 0)) - (property "Footprint" "" (id 2) (at 147.32 86.36 0) + (property "Value" "VCC" (id 1) (at 118.11 93.98 0)) + (property "Footprint" "" (id 2) (at 118.11 97.79 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 147.32 86.36 0) + (property "Datasheet" "" (id 3) (at 118.11 97.79 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 0560d830-008e-491d-a5d8-a3d82ed4a1d7)) ) - (symbol (lib_id "sgikbd:sgi-mdin6") (at 199.39 81.28 0) (unit 1) + (symbol (lib_id "power:GND") (at 157.48 128.27 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid d2e1982d-2f60-4b98-b4ee-c5e63269a8f4) + (property "Reference" "#PWR014" (id 0) (at 157.48 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 157.48 132.08 0)) + (property "Footprint" "" (id 2) (at 157.48 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 157.48 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c28b0197-7754-4c41-b2c7-da761de55cf8)) + ) + + (symbol (lib_id "power:-5V") (at 209.55 71.12 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid d6aa309d-1e8f-4345-99d6-532343dfe560) + (property "Reference" "#PWR021" (id 0) (at 209.55 73.66 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (id 1) (at 209.55 74.93 0)) + (property "Footprint" "" (id 2) (at 209.55 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 209.55 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fe21785b-7e5e-407b-8dfc-2bbed49d3976)) + ) + + (symbol (lib_id "sgikbd:sgi-mdin6") (at 181.61 92.71 0) (unit 1) (in_bom yes) (on_board yes) (uuid db7adfac-4ae3-4494-b134-8066ae717c46) - (property "Reference" "U?" (id 0) (at 200.66 91.44 0) + (property "Reference" "U5" (id 0) (at 184.15 82.55 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "sgi-mdin6" (id 1) (at 203.2 86.36 90) + (property "Value" "sgi-mdin6" (id 1) (at 185.42 95.25 90) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "" (id 2) (at 199.39 91.44 0) + (property "Footprint" "sgikbd:minidin6" (id 2) (at 181.61 102.87 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 199.39 91.44 0) + (property "Datasheet" "" (id 3) (at 181.61 102.87 0) (effects (font (size 1.27 1.27)) hide) ) + (pin "0" (uuid 184f9569-ad4a-4efe-bf77-7aa94eb9e10a)) (pin "1" (uuid db050cb9-9bb1-4a56-b629-4a0e8c17dc18)) (pin "2" (uuid 1ce203d3-1f2b-4df8-a7d2-dd5bdabf3298)) (pin "3" (uuid 35861266-06d3-4750-8531-07a29ce5393f)) @@ -2412,20 +2870,67 @@ (pin "6" (uuid e77ad6aa-2242-4a84-87a5-55d1eccb8b1b)) ) - (symbol (lib_id "sgikbd-rescue:GND") (at 213.36 46.99 0) (unit 1) + (symbol (lib_id "power:GND") (at 133.35 105.41 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid ddf51994-5b7e-4863-bafc-725289dd0d86) + (property "Reference" "#PWR08" (id 0) (at 133.35 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 133.35 109.22 0)) + (property "Footprint" "" (id 2) (at 133.35 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 133.35 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bb32571c-9de1-45f5-952b-cf6103857ffd)) + ) + + (symbol (lib_id "Device:C") (at 124.46 90.17 90) (unit 1) + (in_bom yes) (on_board yes) + (uuid ed64a064-0efc-42d6-8663-5982cd3f8fba) + (property "Reference" "C2" (id 0) (at 127 88.9 90)) + (property "Value" "22pF" (id 1) (at 120.65 91.44 90)) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder" (id 2) (at 128.27 89.2048 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 124.46 90.17 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7778c268-bebc-4444-b699-98f38fd235de)) + (pin "2" (uuid 8921eb20-cf93-43a6-92f1-fb2c00f4beb6)) + ) + + (symbol (lib_id "power:GND") (at 147.32 113.03 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid f98ec45f-a33b-41ef-8484-4fa9ab8a8d56) + (property "Reference" "#PWR010" (id 0) (at 147.32 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 147.32 116.84 0)) + (property "Footprint" "" (id 2) (at 147.32 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 147.32 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 320893c7-2704-4176-a873-a17b35bf12b2)) + ) + + (symbol (lib_id "power:GND") (at 128.27 90.17 0) (unit 1) (in_bom yes) (on_board yes) - (uuid e1e46521-5aa2-4a20-bcc8-28ad5b4622d0) - (property "Reference" "#PWR?" (id 0) (at 213.36 53.34 0) + (uuid ff5a1f4a-7ac9-46e7-bd8b-fd94c1f60e85) + (property "Reference" "#PWR05" (id 0) (at 128.27 96.52 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 213.36 50.8 0)) - (property "Footprint" "" (id 2) (at 213.36 46.99 0) + (property "Value" "GND" (id 1) (at 128.27 93.98 0)) + (property "Footprint" "" (id 2) (at 128.27 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 213.36 46.99 0) + (property "Datasheet" "" (id 3) (at 128.27 90.17 0) (effects (font (size 1.27 1.27)) hide) ) - (pin "1" (uuid ba9397db-94f5-4179-8e35-6b0749c2a062)) + (pin "1" (uuid 9571807b-2f27-4431-b16b-e86564adec99)) ) (sheet_instances @@ -2433,137 +2938,170 @@ ) (symbol_instances - (path "/00000000-0000-0000-0000-000059ddab03" - (reference "#PWR2") (unit 1) (value "GND") (footprint "") + (path "/15ae2e55-1144-499a-bec0-f1850141cbdd" + (reference "#PWR01") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000059dda5c3" - (reference "#PWR4") (unit 1) (value "GND") (footprint "") + (path "/9e18ec8c-2e54-406c-a910-0cd97b016449" + (reference "#PWR02") (unit 1) (value "VCC") (footprint "") ) - (path "/00000000-0000-0000-0000-000059dda306" - (reference "#PWR8") (unit 1) (value "GND") (footprint "") + (path "/0715ebf3-ff52-4640-a098-1f9c11563669" + (reference "#PWR03") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000059dd9d4a" - (reference "#PWR12") (unit 1) (value "GND") (footprint "") + (path "/d2d09b12-f289-4c5f-ae54-7aa6559d3d8e" + (reference "#PWR04") (unit 1) (value "VCC") (footprint "") ) - (path "/00000000-0000-0000-0000-000059e5c78a" - (reference "#PWR13") (unit 1) (value "GND") (footprint "") + (path "/ff5a1f4a-7ac9-46e7-bd8b-fd94c1f60e85" + (reference "#PWR05") (unit 1) (value "GND") (footprint "") ) - (path "/144f3d27-b8bc-4cbc-991c-e90ca8005234" - (reference "#PWR?") (unit 1) (value "-5V") (footprint "") + (path "/857054d3-b556-4944-af3d-847d9b43c1b1" + (reference "#PWR06") (unit 1) (value "VCC") (footprint "") ) - (path "/4d35aed6-ceeb-49eb-b775-7025ff0e4bd9" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (path "/047a96e6-f4e1-404c-94a9-bf32b976a8fa" + (reference "#PWR07") (unit 1) (value "GND") (footprint "") ) - (path "/4d870650-6a07-4c15-8544-848e04d67dd4" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (path "/ddf51994-5b7e-4863-bafc-725289dd0d86" + (reference "#PWR08") (unit 1) (value "GND") (footprint "") ) - (path "/54b6cee5-2362-4b79-834b-4f432b1c87df" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") + (path "/a961128f-f53c-4b9c-9217-00ef0348c816" + (reference "#PWR09") (unit 1) (value "VCC") (footprint "") ) - (path "/6c841f0c-da1a-4c48-9e4d-c8227fac9d13" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (path "/f98ec45f-a33b-41ef-8484-4fa9ab8a8d56" + (reference "#PWR010") (unit 1) (value "GND") (footprint "") ) (path "/6dce018e-1035-452a-84b2-f460ffd4fb17" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (reference "#PWR011") (unit 1) (value "VCC") (footprint "") ) (path "/8293019a-196b-418b-8420-f7ea58fa55b0" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (reference "#PWR012") (unit 1) (value "VCC") (footprint "") ) - (path "/857054d3-b556-4944-af3d-847d9b43c1b1" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (path "/80699c7c-4357-46ee-8176-9bcdeb6d2905" + (reference "#PWR013") (unit 1) (value "GND") (footprint "") ) - (path "/87ad6938-c2f6-4ab0-bc4d-3cc0c59f827c" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") + (path "/d2e1982d-2f60-4b98-b4ee-c5e63269a8f4" + (reference "#PWR014") (unit 1) (value "GND") (footprint "") ) - (path "/9e18ec8c-2e54-406c-a910-0cd97b016449" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (path "/4d870650-6a07-4c15-8544-848e04d67dd4" + (reference "#PWR015") (unit 1) (value "VCC") (footprint "") ) - (path "/a961128f-f53c-4b9c-9217-00ef0348c816" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (path "/144f3d27-b8bc-4cbc-991c-e90ca8005234" + (reference "#PWR016") (unit 1) (value "-5V") (footprint "") ) - (path "/c23bc9da-b66f-4b33-be2b-593ebc010056" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") + (path "/6c841f0c-da1a-4c48-9e4d-c8227fac9d13" + (reference "#PWR017") (unit 1) (value "VCC") (footprint "") ) - (path "/d2d09b12-f289-4c5f-ae54-7aa6559d3d8e" - (reference "#PWR?") (unit 1) (value "VCC") (footprint "") + (path "/354cd7d5-d3a4-4fb7-9047-a140fc1b833b" + (reference "#PWR018") (unit 1) (value "GND") (footprint "") ) - (path "/e1e46521-5aa2-4a20-bcc8-28ad5b4622d0" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") + (path "/00235412-99d0-48e3-9d71-7b7a2c31c0d1" + (reference "#PWR019") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000059ddadd5" - (reference "C1") (unit 1) (value "0.1uF") (footprint "") + (path "/4d35aed6-ceeb-49eb-b775-7025ff0e4bd9" + (reference "#PWR020") (unit 1) (value "VCC") (footprint "") ) - (path "/00000000-0000-0000-0000-000059dda7b2" - (reference "C2") (unit 1) (value "0.1uF") (footprint "") + (path "/d6aa309d-1e8f-4345-99d6-532343dfe560" + (reference "#PWR021") (unit 1) (value "-5V") (footprint "") ) - (path "/00000000-0000-0000-0000-000059dda1c6" - (reference "C3") (unit 1) (value "22pF") (footprint "") + (path "/9d9098f9-1ca3-45c0-8104-23357d937a68" + (reference "#PWR022") (unit 1) (value "GND") (footprint "") ) - (path "/00000000-0000-0000-0000-000059dda28b" - (reference "C4") (unit 1) (value "22pF") (footprint "") + (path "/750d4d8e-20ec-4af7-a18d-e0f5b3351080" + (reference "#PWR0101") (unit 1) (value "GND") (footprint "") ) - (path "/0506c95a-0384-4742-ad3c-3b37dfed6254" - (reference "C?") (unit 1) (value "0.33uF") (footprint "") + (path "/670fa5bc-349c-40e8-b4f9-a4f629eb4b3b" + (reference "C1") (unit 1) (value "22pF") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") + ) + (path "/ed64a064-0efc-42d6-8663-5982cd3f8fba" + (reference "C2") (unit 1) (value "22pF") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") + ) + (path "/5038fcea-e3ed-4f7d-84aa-2795dd8c2d17" + (reference "C3") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") ) - (path "/107ce960-81c7-4fdf-aa5e-bfad9a082e8a" - (reference "C?") (unit 1) (value "0.33uF") (footprint "") + (path "/0506c95a-0384-4742-ad3c-3b37dfed6254" + (reference "C4") (unit 1) (value "0.33uF") (footprint "Capacitor_SMD:CP_Elec_4x5.4") ) (path "/9cca8fbb-8e16-4e55-808d-86cb5ae24248" - (reference "C?") (unit 1) (value "0.33uF") (footprint "") + (reference "C5") (unit 1) (value "0.33uF") (footprint "Capacitor_SMD:CP_Elec_4x5.4") + ) + (path "/452af974-0223-466b-9014-a06d0858dff0" + (reference "C6") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") + ) + (path "/9b64286b-33d7-4acd-9b18-19bb51e23f39" + (reference "C7") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") ) (path "/bfd90246-dacb-48a4-a73c-96a067e60b27" - (reference "C?") (unit 1) (value "0.33uF") (footprint "") + (reference "C8") (unit 1) (value "0.33uF") (footprint "Capacitor_SMD:CP_Elec_4x5.4") ) - (path "/438e4948-d1a2-4c51-b9a8-0de1a18f8384" - (reference "J?") (unit 1) (value "AVR-ISP") (footprint "") + (path "/8d4192be-0160-4cd8-ba85-7c7bfff73c0a" + (reference "C9") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0805_2012Metric_Pad1.18x1.45mm_HandSolder") ) - (path "/00000000-0000-0000-0000-000059e40996" - (reference "R1") (unit 1) (value "4.7k") (footprint "") + (path "/58d0a2ee-1597-43c4-8fca-3381724b04be" + (reference "D1") (unit 1) (value "1N4148") (footprint "Diode_SMD:D_SOD-123") ) - (path "/8bd18b46-e3d9-483f-be70-173ac55b5653" - (reference "R?") (unit 1) (value "4.7k") (footprint "") + (path "/aef5cb3b-eb2f-4074-a8eb-2a0846eae1c3" + (reference "H1") (unit 1) (value "mounting hole") (footprint "MountingHole:MountingHole_3.2mm_M3_Pad") ) - (path "/cb7fab42-e488-463f-8b73-5bc3992d5e53" - (reference "R?") (unit 1) (value "4.7k") (footprint "") + (path "/bdb29f75-e5e2-49d5-8993-37c1dac7b09d" + (reference "H2") (unit 1) (value "mounting hole") (footprint "MountingHole:MountingHole_3.2mm_M3_Pad") ) - (path "/00000000-0000-0000-0000-000059e5c5fc" - (reference "SW1") (unit 1) (value "RESET") (footprint "") + (path "/8b442b1c-1259-4a43-9069-2671d711ed2b" + (reference "H3") (unit 1) (value "mounting hole") (footprint "MountingHole:MountingHole_3.2mm_M3_Pad") ) - (path "/0cc7ecdb-c608-4982-a337-7bb9453e2ba2" - (reference "U?") (unit 1) (value "sgi-db15") (footprint "Connector_Dsub:DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm") + (path "/3e11c344-1ce5-4a3e-bf53-5f14506f40b9" + (reference "H4") (unit 1) (value "mounting hole") (footprint "MountingHole:MountingHole_3.2mm_M3_Pad") ) - (path "/5084e1b7-7713-4268-86a1-6f39cdfef5e5" - (reference "U?") (unit 1) (value "ps2kbd") (footprint "") + (path "/438e4948-d1a2-4c51-b9a8-0de1a18f8384" + (reference "J1") (unit 1) (value "AVR-ISP") (footprint "Connector_IDC:IDC-Header_2x03_P2.54mm_Vertical") ) - (path "/55d75fe9-9710-4cd3-9402-7930a2eb266f" - (reference "U?") (unit 1) (value "LM358") (footprint "") + (path "/9f5e77ab-7b0b-4c0a-8e05-d8fa4ebb8108" + (reference "R1") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder") ) - (path "/6e637974-8ae9-44b7-bba8-5ed9ea4e4b33" - (reference "U?") (unit 1) (value "sgi-db9") (footprint "Connector_Dsub:DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm") + (path "/cb6fcf3c-6f65-4930-9ba7-2e8a2db291ba" + (reference "R2") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder") ) - (path "/6e6a56fd-7c82-4588-8eb4-8d1102acf415" - (reference "U?") (unit 1) (value "L7805") (footprint "") + (path "/a227275f-76af-4105-aa07-c3848725e682" + (reference "R3") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder") ) - (path "/753bdd7e-d169-4b8a-a968-e2d59f6406ae" - (reference "U?") (unit 1) (value "L7905") (footprint "") + (path "/b5996c17-acf1-48be-93fe-ca7273a1f4f6" + (reference "R4") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0805_2012Metric_Pad1.20x1.40mm_HandSolder") ) - (path "/bfca60ae-f58a-4cb2-aed7-41c55e291737" - (reference "U?") (unit 1) (value "ps2mouse") (footprint "") + (path "/7d64a0a0-bf95-4984-9ed3-575a5d1e9a9e" + (reference "SW1") (unit 1) (value "RESET") (footprint "Button_Switch_SMD:SW_Push_1P1T_NO_6x6mm_H9.5mm") ) (path "/ce1871bd-0dbe-421e-af45-ef8b1716fbf2" - (reference "U?") (unit 1) (value "ATmega328PB-A") (footprint "Package_QFP:TQFP-32_7x7mm_P0.8mm") + (reference "U1") (unit 1) (value "ATmega328PB") (footprint "Package_QFP:TQFP-32_7x7mm_P0.8mm") ) - (path "/db7adfac-4ae3-4494-b134-8066ae717c46" - (reference "U?") (unit 1) (value "sgi-mdin6") (footprint "") + (path "/5084e1b7-7713-4268-86a1-6f39cdfef5e5" + (reference "U2") (unit 1) (value "ps2kbd") (footprint "sgikbd:minidin6") + ) + (path "/bfca60ae-f58a-4cb2-aed7-41c55e291737" + (reference "U3") (unit 1) (value "ps2aux") (footprint "sgikbd:minidin6") + ) + (path "/55d75fe9-9710-4cd3-9402-7930a2eb266f" + (reference "U4") (unit 1) (value "LM358") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm") ) (path "/4b35f29d-7657-43e1-85cb-8c17a652696b" - (reference "U?") (unit 2) (value "LM358") (footprint "") + (reference "U4") (unit 2) (value "LM358") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm") ) (path "/cb7c6d7a-9797-492c-8df1-7286fcb154a3" - (reference "U?") (unit 3) (value "LM358") (footprint "") + (reference "U4") (unit 3) (value "LM358") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm") + ) + (path "/db7adfac-4ae3-4494-b134-8066ae717c46" + (reference "U5") (unit 1) (value "sgi-mdin6") (footprint "sgikbd:minidin6") + ) + (path "/6e6a56fd-7c82-4588-8eb4-8d1102acf415" + (reference "U6") (unit 1) (value "L7805") (footprint "Package_TO_SOT_SMD:TO-252-3_TabPin2") + ) + (path "/753bdd7e-d169-4b8a-a968-e2d59f6406ae" + (reference "U7") (unit 1) (value "L7905") (footprint "Package_TO_SOT_SMD:SOT-89-3_Handsoldering") + ) + (path "/6e637974-8ae9-44b7-bba8-5ed9ea4e4b33" + (reference "U8") (unit 1) (value "sgi-db9") (footprint "Connector_Dsub:DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm") + ) + (path "/0cc7ecdb-c608-4982-a337-7bb9453e2ba2" + (reference "U9") (unit 1) (value "sgi-db15") (footprint "Connector_Dsub:DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm") ) - (path "/00000000-0000-0000-0000-000059dda0c7" - (reference "Y1") (unit 1) (value "14.7456MHz") (footprint "") + (path "/d2539eb6-6f4d-42f9-8b6e-ba504b13c95f" + (reference "Y1") (unit 1) (value "3.6864MHz") (footprint "Crystal:Crystal_SMD_HC49-SD") ) ) ) diff --git a/hw/sgikbd.kicad_sym b/hw/sgikbd.kicad_sym index 594af57..c11d3f0 100644 --- a/hw/sgikbd.kicad_sym +++ b/hw/sgikbd.kicad_sym @@ -3,7 +3,7 @@ (property "Reference" "U" (id 0) (at -6.35 -6.35 0) (effects (font (size 1.524 1.524))) ) - (property "Value" "ps2conn" (id 1) (at 3.81 0 90) + (property "Value" "ps2conn" (id 1) (at 5.08 0 90) (effects (font (size 1.524 1.524))) ) (property "Footprint" "" (id 2) (at 0 0 0) @@ -13,12 +13,16 @@ (effects (font (size 1.524 1.524)) hide) ) (symbol "ps2conn_0_1" - (rectangle (start -7.62 5.08) (end 2.54 -5.08) + (rectangle (start -7.62 5.08) (end 3.81 -5.08) (stroke (width 0) (type default) (color 0 0 0 0)) (fill (type none)) ) ) (symbol "ps2conn_1_1" + (pin passive line (at 2.54 -7.62 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) (pin passive line (at -10.16 2.54 0) (length 2.54) (name "DATA" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) @@ -38,10 +42,10 @@ ) ) (symbol "sgi-db15" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 0 0 0) + (property "Reference" "U" (id 0) (at 2.54 10.16 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "sgi-db15" (id 1) (at 3.81 0 90) + (property "Value" "sgi-db15" (id 1) (at 6.35 0 90) (effects (font (size 1.27 1.27))) ) (property "Footprint" "Connector_Dsub:DSUB-15_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 1.27 -21.59 0) @@ -57,61 +61,65 @@ ) ) (symbol "sgi-db15_1_1" - (pin power_in line (at 0 -11.43 90) (length 2.54) + (pin passive line (at 2.54 -11.43 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -11.43 90) (length 2.54) (name "GND" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 -2.54 0) (length 2.54) + (pin passive line (at -7.62 -2.54 0) (length 2.54) (name "MRXD" (effects (font (size 1.27 1.27)))) (number "10" (effects (font (size 1.27 1.27)))) ) - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin no_connect line (at -2.54 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "11" (effects (font (size 1.27 1.27)))) ) - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin no_connect line (at -3.81 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "12" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 1.27 11.43 270) (length 2.54) + (pin passive line (at 1.27 11.43 270) (length 2.54) (name "-12V" (effects (font (size 1.27 1.27)))) (number "15" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) hide + (pin passive line (at 0 -11.43 90) (length 2.54) hide (name "GND" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) hide + (pin passive line (at 0 -11.43 90) (length 2.54) hide (name "GND" (effects (font (size 1.27 1.27)))) (number "3" (effects (font (size 1.27 1.27)))) ) - (pin input line (at -7.62 0 0) (length 2.54) + (pin passive line (at -7.62 0 0) (length 2.54) (name "KBTXD" (effects (font (size 1.27 1.27)))) (number "4" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 2.54 0) (length 2.54) + (pin passive line (at -7.62 2.54 0) (length 2.54) (name "KBRXD" (effects (font (size 1.27 1.27)))) (number "5" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) + (pin passive line (at -1.27 11.43 270) (length 2.54) (name "+12V" (effects (font (size 1.27 1.27)))) (number "7" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) hide + (pin passive line (at -1.27 11.43 270) (length 2.54) hide (name "+12V" (effects (font (size 1.27 1.27)))) (number "8" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) hide + (pin passive line (at -1.27 11.43 270) (length 2.54) hide (name "+12V" (effects (font (size 1.27 1.27)))) (number "9" (effects (font (size 1.27 1.27)))) ) ) ) (symbol "sgi-db9" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 1.27 -10.16 0) + (property "Reference" "U" (id 0) (at -5.08 -10.16 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "sgi-db9" (id 1) (at 3.81 0 90) + (property "Value" "sgi-db9" (id 1) (at 6.35 0 90) (effects (font (size 1.27 1.27))) ) (property "Footprint" "Connector_Dsub:DSUB-9_Female_Horizontal_P2.77x2.84mm_EdgePinOffset7.70mm_Housed_MountingHolesOffset9.12mm" (id 2) (at 0 -21.59 0) @@ -127,49 +135,53 @@ ) ) (symbol "sgi-db9_1_1" - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin passive line (at 2.54 -11.43 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -3.81 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 2.54 0) (length 2.54) + (pin passive line (at -7.62 2.54 0) (length 2.54) (name "KBRXD" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) - (pin no_connect line (at 2.54 -8.89 90) (length 2.54) hide + (pin no_connect line (at -2.54 -8.89 90) (length 2.54) hide (name "NC" (effects (font (size 1.27 1.27)))) (number "3" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 1.27 11.43 270) (length 2.54) + (pin passive line (at 1.27 11.43 270) (length 2.54) (name "-12V" (effects (font (size 1.27 1.27)))) (number "4" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 -2.54 0) (length 2.54) + (pin passive line (at -7.62 -2.54 0) (length 2.54) (name "MRXD" (effects (font (size 1.27 1.27)))) (number "5" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) + (pin passive line (at 0 -11.43 90) (length 2.54) (name "GND" (effects (font (size 1.27 1.27)))) (number "6" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) + (pin passive line (at -1.27 11.43 270) (length 2.54) (name "+12V" (effects (font (size 1.27 1.27)))) (number "7" (effects (font (size 1.27 1.27)))) ) - (pin input line (at -7.62 0 0) (length 2.54) + (pin passive line (at -7.62 0 0) (length 2.54) (name "KBTXD" (effects (font (size 1.27 1.27)))) (number "8" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) hide + (pin passive line (at 0 -11.43 90) (length 2.54) hide (name "GND" (effects (font (size 1.27 1.27)))) (number "9" (effects (font (size 1.27 1.27)))) ) ) ) (symbol "sgi-mdin6" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at 1.27 -10.16 0) + (property "Reference" "U" (id 0) (at 2.54 10.16 0) (effects (font (size 1.27 1.27))) ) - (property "Value" "sgi-mdin6" (id 1) (at 3.81 0 90) + (property "Value" "sgi-mdin6" (id 1) (at 6.35 0 90) (effects (font (size 1.27 1.27))) ) (property "Footprint" "" (id 2) (at 0 -10.16 0) @@ -185,27 +197,31 @@ ) ) (symbol "sgi-mdin6_1_1" - (pin output line (at -7.62 2.54 0) (length 2.54) + (pin passive line (at 2.54 -11.43 90) (length 2.54) + (name "shield" (effects (font (size 1.27 1.27)))) + (number "0" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -7.62 2.54 0) (length 2.54) (name "KBRX" (effects (font (size 1.27 1.27)))) (number "1" (effects (font (size 1.27 1.27)))) ) - (pin output line (at -7.62 -2.54 0) (length 2.54) + (pin passive line (at -7.62 -2.54 0) (length 2.54) (name "MRXD" (effects (font (size 1.27 1.27)))) (number "2" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 0 -11.43 90) (length 2.54) + (pin power_out line (at 0 -11.43 90) (length 2.54) (name "GND" (effects (font (size 1.27 1.27)))) (number "3" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at -1.27 11.43 270) (length 2.54) + (pin power_out line (at -1.27 11.43 270) (length 2.54) (name "+8V" (effects (font (size 1.27 1.27)))) (number "4" (effects (font (size 1.27 1.27)))) ) - (pin input line (at -7.62 0 0) (length 2.54) + (pin passive line (at -7.62 0 0) (length 2.54) (name "KBTXD" (effects (font (size 1.27 1.27)))) (number "5" (effects (font (size 1.27 1.27)))) ) - (pin power_in line (at 1.27 11.43 270) (length 2.54) + (pin power_out line (at 1.27 11.43 270) (length 2.54) (name "-8V" (effects (font (size 1.27 1.27)))) (number "6" (effects (font (size 1.27 1.27)))) ) diff --git a/hw/sgikbd.pretty/minidin6.kicad_mod b/hw/sgikbd.pretty/minidin6.kicad_mod new file mode 100644 index 0000000..2a938f8 --- /dev/null +++ b/hw/sgikbd.pretty/minidin6.kicad_mod @@ -0,0 +1,27 @@ +(footprint "minidin6" (version 20211014) (generator pcbnew) + (layer "F.Cu") + (tedit 62D5B982) + (attr through_hole) + (fp_text reference "REF**" (at 0 -13.9 unlocked) (layer "F.SilkS") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 4fda3ea9-5e63-4a11-9fa0-f555a70d7be8) + ) + (fp_text value "minidin6" (at 0 1 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 92a0b1d0-c07d-404b-9fbb-f8648e9c6582) + ) + (fp_text user "${REFERENCE}" (at 0 2.5 unlocked) (layer "F.Fab") + (effects (font (size 1 1) (thickness 0.15))) + (tstamp 7b9f121d-db78-49d8-b967-275c67f94eb4) + ) + (fp_rect (start -7 0) (end 7 -13) (layer "F.SilkS") (width 0.12) (fill none) (tstamp 37bff5f3-5030-4167-b213-1ca004023a6b)) + (pad "0" thru_hole circle (at -6.85 -5.5) (size 3.5 3.5) (drill 2.3) (layers *.Cu *.Mask) (tstamp 22fad3c0-12ee-486c-8d8f-5c8fa56e6d9d)) + (pad "0" thru_hole circle (at 0 -4.5) (size 3.5 3.5) (drill 2.3) (layers *.Cu *.Mask) (tstamp 30d0b67f-13cc-44d7-ae75-f93e63cb6d59)) + (pad "0" thru_hole circle (at 6.85 -5.5) (size 3.5 3.5) (drill 2.3) (layers *.Cu *.Mask) (tstamp d3cf8746-efa0-4297-bb8d-3bd392c1bcc5)) + (pad "1" thru_hole circle (at 1.3 -8.78) (size 1.8 1.8) (drill 1.1) (layers *.Cu *.Mask) (tstamp 650b9696-95a1-47fa-b8c3-dc355d917fe3)) + (pad "2" thru_hole circle (at -1.3 -8.78) (size 1.8 1.8) (drill 1.1) (layers *.Cu *.Mask) (tstamp 69eacddb-09f1-45e7-84b9-cd677ac921b1)) + (pad "3" thru_hole circle (at 3.4 -8.78) (size 1.8 1.8) (drill 1.1) (layers *.Cu *.Mask) (tstamp e9313536-6b6f-4a95-b642-45612d1b11c3)) + (pad "4" thru_hole circle (at -3.4 -8.78) (size 1.8 1.8) (drill 1.1) (layers *.Cu *.Mask) (tstamp b455514d-23d9-492b-9244-32bcfb19172e)) + (pad "5" thru_hole circle (at 3.4 -11.25) (size 1.8 1.8) (drill 1.1) (layers *.Cu *.Mask) (tstamp 8bc3d808-418e-415c-80c5-ab31f9eb9144)) + (pad "6" thru_hole circle (at -3.4 -11.25) (size 1.8 1.8) (drill 1.1) (layers *.Cu *.Mask) (tstamp 85497723-ecfb-4685-ac39-6c33c4bc9b61)) +) -- 1.7.10.4