updated the schematic and project symbol library
authorJohn Tsiombikas <nuclear@member.fsf.org>
Mon, 27 Apr 2020 09:46:14 +0000 (12:46 +0300)
committerJohn Tsiombikas <nuclear@member.fsf.org>
Mon, 27 Apr 2020 09:46:14 +0000 (12:46 +0300)
z80comp2-cache.lib
z80comp2.lib
z80comp2.sch

index a931300..3f1491b 100644 (file)
@@ -1,6 +1,54 @@
 EESchema-LIBRARY Version 2.4
 #encoding utf-8
 #
 EESchema-LIBRARY Version 2.4
 #encoding utf-8
 #
+# 74xx_74HC14
+#
+DEF 74xx_74HC14 U 0 40 Y Y 7 L N
+F0 "U" 0 50 50 H V C CNN
+F1 "74xx_74HC14" 0 -50 50 H V C CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+ALIAS 74LS14
+$FPLIST
+ DIP*W7.62mm*
+$ENDFPLIST
+DRAW
+S -200 300 200 -300 7 1 10 f
+P 4 1 0 10 -150 150 -150 -150 150 0 -150 150 f
+P 4 2 0 10 -150 150 -150 -150 150 0 -150 150 f
+P 4 3 0 10 -150 150 -150 -150 150 0 -150 150 f
+P 4 4 0 10 -150 150 -150 -150 150 0 -150 150 f
+P 4 5 0 10 -150 150 -150 -150 150 0 -150 150 f
+P 4 6 0 10 -150 150 -150 -150 150 0 -150 150 f
+P 3 1 1 0 -75 -50 -75 50 -25 50 N
+P 4 1 1 0 -100 -50 -25 -50 -25 50 0 50 N
+P 3 2 1 0 -75 -50 -75 50 -25 50 N
+P 4 2 1 0 -100 -50 -25 -50 -25 50 0 50 N
+P 3 3 1 0 -75 -50 -75 50 -25 50 N
+P 4 3 1 0 -100 -50 -25 -50 -25 50 0 50 N
+P 3 4 1 0 -75 -50 -75 50 -25 50 N
+P 4 4 1 0 -100 -50 -25 -50 -25 50 0 50 N
+P 3 5 1 0 -75 -50 -75 50 -25 50 N
+P 4 5 1 0 -100 -50 -25 -50 -25 50 0 50 N
+P 3 6 1 0 -75 -50 -75 50 -25 50 N
+P 4 6 1 0 -100 -50 -25 -50 -25 50 0 50 N
+X ~ 1 -300 0 150 R 50 50 1 0 I
+X ~ 2 300 0 150 L 50 50 1 0 O I
+X ~ 3 -300 0 150 R 50 50 2 0 I
+X ~ 4 300 0 150 L 50 50 2 0 O I
+X ~ 5 -300 0 150 R 50 50 3 0 I
+X ~ 6 300 0 150 L 50 50 3 0 O I
+X ~ 8 300 0 150 L 50 50 4 0 O I
+X ~ 9 -300 0 150 R 50 50 4 0 I
+X ~ 10 300 0 150 L 50 50 5 0 O I
+X ~ 11 -300 0 150 R 50 50 5 0 I
+X ~ 12 300 0 150 L 50 50 6 0 O I
+X ~ 13 -300 0 150 R 50 50 6 0 I
+X VCC 14 0 500 200 D 50 50 7 0 W
+X GND 7 0 -500 200 U 50 50 7 0 W
+ENDDRAW
+ENDDEF
+#
 # 74xx_74LS05
 #
 DEF 74xx_74LS05 U 0 40 Y Y 7 L N
 # 74xx_74LS05
 #
 DEF 74xx_74LS05 U 0 40 Y Y 7 L N
@@ -346,6 +394,50 @@ X A1 9 -400 800 100 R 50 50 1 1 I
 ENDDRAW
 ENDDEF
 #
 ENDDRAW
 ENDDEF
 #
+# Switch_SW_Push
+#
+DEF Switch_SW_Push SW 0 40 N N 1 F N
+F0 "SW" 50 100 50 H V L CNN
+F1 "Switch_SW_Push" 0 -60 50 H V C CNN
+F2 "" 0 200 50 H I C CNN
+F3 "" 0 200 50 H I C CNN
+DRAW
+C -80 0 20 0 1 0 N
+C 80 0 20 0 1 0 N
+P 2 0 1 0 0 50 0 120 N
+P 2 0 1 0 100 50 -100 50 N
+X 1 1 -200 0 100 R 50 50 0 1 P
+X 2 2 200 0 100 L 50 50 0 1 P
+ENDDRAW
+ENDDEF
+#
+# Timer_LM555
+#
+DEF Timer_LM555 U 0 20 Y Y 1 F N
+F0 "U" -400 350 50 H V L CNN
+F1 "Timer_LM555" 100 350 50 H V L CNN
+F2 "" 0 0 50 H I C CNN
+F3 "" 0 0 50 H I C CNN
+ALIAS ICM7555 LMC555xM LMC555xMM LMC555xN MC1455 TLC555CD TLC555CP TLC555CPS NA555 NE555 SE555 SA555
+$FPLIST
+ SOIC*3.9x4.9mm*P1.27mm*
+ DIP*W7.62mm*
+ TSSOP*3x3mm*P0.65mm*
+$ENDFPLIST
+DRAW
+S -350 -300 350 300 0 1 10 f
+S -350 -300 350 300 0 1 10 f
+X GND 1 0 -400 100 U 50 50 0 0 W
+X VCC 8 0 400 100 D 50 50 0 0 W
+X TR 2 -500 200 150 R 50 50 1 1 I
+X Q 3 500 200 150 L 50 50 1 1 O
+X R 4 -500 -200 150 R 50 50 1 1 I I
+X CV 5 -500 0 150 R 50 50 1 1 I
+X THR 6 500 -200 150 L 50 50 1 1 I
+X DIS 7 500 0 150 L 50 50 1 1 I
+ENDDRAW
+ENDDEF
+#
 # power_GND
 #
 DEF power_GND #PWR 0 0 Y Y 1 F P
 # power_GND
 #
 DEF power_GND #PWR 0 0 Y Y 1 F P
index 2e4b5e3..7186c5c 100644 (file)
@@ -9,7 +9,7 @@ F1 "mc68681" -250 1350 50 H V C CNN
 F2 "" 550 -550 50 H I C CNN
 F3 "" 550 -550 50 H I C CNN
 DRAW
 F2 "" 550 -550 50 H I C CNN
 F3 "" 550 -550 50 H I C CNN
 DRAW
-S -350 1300 350 -1500 0 1 0 N
+S -350 1300 350 -1500 0 1 0 f
 X RS1 1 -550 900 200 R 50 50 1 1 I
 X RXDB 10 550 -650 200 L 50 50 1 1 P
 X TXDB 11 550 -750 200 L 50 50 1 1 P
 X RS1 1 -550 900 200 R 50 50 1 1 I
 X RXDB 10 550 -650 200 L 50 50 1 1 P
 X TXDB 11 550 -750 200 L 50 50 1 1 P
@@ -56,42 +56,42 @@ ENDDEF
 # sram_um61512ak
 #
 DEF sram_um61512ak U 0 40 Y Y 1 F N
 # sram_um61512ak
 #
 DEF sram_um61512ak U 0 40 Y Y 1 F N
-F0 "U" 300 -1200 60 H V C CNN
+F0 "U" 100 -1200 60 H V C CNN
 F1 "sram_um61512ak" 0 -50 60 V V C CNN
 F2 "" -100 -800 60 H V C CNN
 F3 "" -100 -800 60 H V C CNN
 DRAW
 F1 "sram_um61512ak" 0 -50 60 V V C CNN
 F2 "" -100 -800 60 H V C CNN
 F3 "" -100 -800 60 H V C CNN
 DRAW
-S -500 1050 450 -1100 0 1 0 N
-X A2 10 -700 800 200 R 50 50 1 1 I
-X A1 11 -700 900 200 R 50 50 1 1 I
-X A0 12 -700 1000 200 R 50 50 1 1 I
-X D0 13 650 1000 200 L 50 50 1 1 B
-X D1 14 650 900 200 L 50 50 1 1 B
-X D2 15 650 800 200 L 50 50 1 1 B
+S -350 1050 350 -1100 0 1 0 f
+X A2 10 -550 800 200 R 50 50 1 1 I
+X A1 11 -550 900 200 R 50 50 1 1 I
+X A0 12 -550 1000 200 R 50 50 1 1 I
+X D0 13 550 1000 200 L 50 50 1 1 B
+X D1 14 550 900 200 L 50 50 1 1 B
+X D2 15 550 800 200 L 50 50 1 1 B
 X GND 16 0 -1300 200 U 50 50 1 1 W
 X GND 16 0 -1300 200 U 50 50 1 1 W
-X D3 17 650 700 200 L 50 50 1 1 B
-X D4 18 650 600 200 L 50 50 1 1 B
-X D5 19 650 500 200 L 50 50 1 1 B
-X D6 20 650 400 200 L 50 50 1 1 B
-X D7 21 650 300 200 L 50 50 1 1 B
-X ~CE1 22 -700 -950 200 R 50 50 1 1 I I
-X A10 23 -700 0 200 R 50 50 1 1 I
-X ~OE 24 -700 -750 200 R 50 50 1 1 I I
-X A11 25 -700 -100 200 R 50 50 1 1 I
-X A9 26 -700 100 200 R 50 50 1 1 I
-X A8 27 -700 200 200 R 50 50 1 1 I
-X A13 28 -700 -300 200 R 50 50 1 1 I
-X ~WE 29 -700 -850 200 R 50 50 1 1 I I
-X A14 3 -700 -400 200 R 50 50 1 1 I
-X CE2 30 -700 -1050 200 R 50 50 1 1 I
-X A15 31 -700 -500 200 R 50 50 1 1 I
+X D3 17 550 700 200 L 50 50 1 1 B
+X D4 18 550 600 200 L 50 50 1 1 B
+X D5 19 550 500 200 L 50 50 1 1 B
+X D6 20 550 400 200 L 50 50 1 1 B
+X D7 21 550 300 200 L 50 50 1 1 B
+X ~CE1 22 -550 -950 200 R 50 50 1 1 I I
+X A10 23 -550 0 200 R 50 50 1 1 I
+X ~OE 24 -550 -750 200 R 50 50 1 1 I I
+X A11 25 -550 -100 200 R 50 50 1 1 I
+X A9 26 -550 100 200 R 50 50 1 1 I
+X A8 27 -550 200 200 R 50 50 1 1 I
+X A13 28 -550 -300 200 R 50 50 1 1 I
+X ~WE 29 -550 -850 200 R 50 50 1 1 I I
+X A14 3 -550 -400 200 R 50 50 1 1 I
+X CE2 30 -550 -1050 200 R 50 50 1 1 I
+X A15 31 -550 -500 200 R 50 50 1 1 I
 X VCC 32 0 1250 200 D 50 50 1 1 W
 X VCC 32 0 1250 200 D 50 50 1 1 W
-X A12 4 -700 -200 200 R 50 50 1 1 I
-X A7 5 -700 300 200 R 50 50 1 1 I
-X A6 6 -700 400 200 R 50 50 1 1 I
-X A5 7 -700 500 200 R 50 50 1 1 I
-X A4 8 -700 600 200 R 50 50 1 1 I
-X A3 9 -700 700 200 R 50 50 1 1 I
+X A12 4 -550 -200 200 R 50 50 1 1 I
+X A7 5 -550 300 200 R 50 50 1 1 I
+X A6 6 -550 400 200 R 50 50 1 1 I
+X A5 7 -550 500 200 R 50 50 1 1 I
+X A4 8 -550 600 200 R 50 50 1 1 I
+X A3 9 -550 700 200 R 50 50 1 1 I
 ENDDRAW
 ENDDEF
 #
 ENDDRAW
 ENDDEF
 #
index ef1b5f7..8297341 100644 (file)
@@ -14,10 +14,10 @@ Comment3 ""
 Comment4 ""
 $EndDescr
 $Comp
 Comment4 ""
 $EndDescr
 $Comp
-L CPU:Z80CPU U?
+L CPU:Z80CPU U1
 U 1 1 5EA29512
 P 1900 4050
 U 1 1 5EA29512
 P 1900 4050
-F 0 "U?" H 2100 2650 50  0000 C CNN
+F 0 "U1" H 2100 2650 50  0000 C CNN
 F 1 "Z80CPU" H 1900 4050 50  0000 C CNN
 F 2 "" H 1900 4450 50  0001 C CNN
 F 3 "www.zilog.com/manage_directlink.php?filepath=docs/z80/um0080" H 1900 4450 50  0001 C CNN
 F 1 "Z80CPU" H 1900 4050 50  0000 C CNN
 F 2 "" H 1900 4450 50  0001 C CNN
 F 3 "www.zilog.com/manage_directlink.php?filepath=docs/z80/um0080" H 1900 4450 50  0001 C CNN
@@ -25,10 +25,10 @@ F 3 "www.zilog.com/manage_directlink.php?filepath=docs/z80/um0080" H 1900 4450 5
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Memory_EEPROM:28C256 U?
+L Memory_EEPROM:28C256 U3
 U 1 1 5EA2C09A
 P 3750 4400
 U 1 1 5EA2C09A
 P 3750 4400
-F 0 "U?" H 3900 3350 50  0000 C CNN
+F 0 "U3" H 3900 3350 50  0000 C CNN
 F 1 "28C256" V 3750 4400 50  0000 C CNN
 F 2 "" H 3750 4400 50  0001 C CNN
 F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" H 3750 4400 50  0001 C CNN
 F 1 "28C256" V 3750 4400 50  0000 C CNN
 F 2 "" H 3750 4400 50  0001 C CNN
 F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" H 3750 4400 50  0001 C CNN
@@ -36,10 +36,10 @@ F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/doc0006.pdf" H 3750 4400 50
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:GND #PWR?
+L power:GND #PWR04
 U 1 1 5EA2ECD7
 P 1900 5550
 U 1 1 5EA2ECD7
 P 1900 5550
-F 0 "#PWR?" H 1900 5300 50  0001 C CNN
+F 0 "#PWR04" H 1900 5300 50  0001 C CNN
 F 1 "GND" H 1905 5377 50  0000 C CNN
 F 2 "" H 1900 5550 50  0001 C CNN
 F 3 "" H 1900 5550 50  0001 C CNN
 F 1 "GND" H 1905 5377 50  0000 C CNN
 F 2 "" H 1900 5550 50  0001 C CNN
 F 3 "" H 1900 5550 50  0001 C CNN
@@ -47,10 +47,10 @@ F 3 "" H 1900 5550 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:GND #PWR?
+L power:GND #PWR011
 U 1 1 5EA2F4C0
 P 3750 5500
 U 1 1 5EA2F4C0
 P 3750 5500
-F 0 "#PWR?" H 3750 5250 50  0001 C CNN
+F 0 "#PWR011" H 3750 5250 50  0001 C CNN
 F 1 "GND" H 3755 5327 50  0000 C CNN
 F 2 "" H 3750 5500 50  0001 C CNN
 F 3 "" H 3750 5500 50  0001 C CNN
 F 1 "GND" H 3755 5327 50  0000 C CNN
 F 2 "" H 3750 5500 50  0001 C CNN
 F 3 "" H 3750 5500 50  0001 C CNN
@@ -58,10 +58,10 @@ F 3 "" H 3750 5500 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR03
 U 1 1 5EA2FD95
 P 1900 2550
 U 1 1 5EA2FD95
 P 1900 2550
-F 0 "#PWR?" H 1900 2400 50  0001 C CNN
+F 0 "#PWR03" H 1900 2400 50  0001 C CNN
 F 1 "VCC" H 1917 2723 50  0000 C CNN
 F 2 "" H 1900 2550 50  0001 C CNN
 F 3 "" H 1900 2550 50  0001 C CNN
 F 1 "VCC" H 1917 2723 50  0000 C CNN
 F 2 "" H 1900 2550 50  0001 C CNN
 F 3 "" H 1900 2550 50  0001 C CNN
@@ -69,10 +69,10 @@ F 3 "" H 1900 2550 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR010
 U 1 1 5EA30021
 P 3750 3300
 U 1 1 5EA30021
 P 3750 3300
-F 0 "#PWR?" H 3750 3150 50  0001 C CNN
+F 0 "#PWR010" H 3750 3150 50  0001 C CNN
 F 1 "VCC" H 3767 3473 50  0000 C CNN
 F 2 "" H 3750 3300 50  0001 C CNN
 F 3 "" H 3750 3300 50  0001 C CNN
 F 1 "VCC" H 3767 3473 50  0000 C CNN
 F 2 "" H 3750 3300 50  0001 C CNN
 F 3 "" H 3750 3300 50  0001 C CNN
@@ -366,10 +366,10 @@ Entry Wire Line
 Text Label 4150 2600 0    50   ~ 0
 A[0..15]
 $Comp
 Text Label 4150 2600 0    50   ~ 0
 A[0..15]
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR07
 U 1 1 5EA9AA1C
 P 3250 5100
 U 1 1 5EA9AA1C
 P 3250 5100
-F 0 "#PWR?" H 3250 4950 50  0001 C CNN
+F 0 "#PWR07" H 3250 4950 50  0001 C CNN
 F 1 "VCC" H 3350 5200 50  0000 C CNN
 F 2 "" H 3250 5100 50  0001 C CNN
 F 3 "" H 3250 5100 50  0001 C CNN
 F 1 "VCC" H 3350 5200 50  0000 C CNN
 F 2 "" H 3250 5100 50  0001 C CNN
 F 3 "" H 3250 5100 50  0001 C CNN
@@ -405,15 +405,13 @@ Text Label 950  4750 0    50   ~ 0
 ~MREQ
 Text Label 950  4850 0    50   ~ 0
 ~IOREQ
 ~MREQ
 Text Label 950  4850 0    50   ~ 0
 ~IOREQ
-Wire Wire Line
-       1200 2850 1050 2850
 Text Label 1050 2850 0    50   ~ 0
 ~RST
 $Comp
 Text Label 1050 2850 0    50   ~ 0
 ~RST
 $Comp
-L z80comp2:mc68681 U?
+L z80comp2:mc68681 U6
 U 1 1 5EAC419A
 P 7500 3900
 U 1 1 5EAC419A
 P 7500 3900
-F 0 "U?" H 7650 2350 50  0000 C CNN
+F 0 "U6" H 7650 2350 50  0000 C CNN
 F 1 "mc68681" V 7500 3900 50  0000 C CNN
 F 2 "" H 8050 3350 50  0001 C CNN
 F 3 "" H 8050 3350 50  0001 C CNN
 F 1 "mc68681" V 7500 3900 50  0000 C CNN
 F 2 "" H 8050 3350 50  0001 C CNN
 F 3 "" H 8050 3350 50  0001 C CNN
@@ -421,10 +419,10 @@ F 3 "" H 8050 3350 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L z80comp2:sram_um61512ak U?
+L z80comp2:sram_um61512ak U4
 U 1 1 5EAC72ED
 P 5600 4300
 U 1 1 5EAC72ED
 P 5600 4300
-F 0 "U?" H 5750 3150 60  0000 C CNN
+F 0 "U4" H 5750 3150 60  0000 C CNN
 F 1 "sram_um61512ak" V 5600 4300 60  0000 C CNN
 F 2 "" H 5500 3500 60  0000 C CNN
 F 3 "" H 5500 3500 60  0000 C CNN
 F 1 "sram_um61512ak" V 5600 4300 60  0000 C CNN
 F 2 "" H 5500 3500 60  0000 C CNN
 F 3 "" H 5500 3500 60  0000 C CNN
@@ -618,10 +616,10 @@ Wire Wire Line
 Text Label 4650 5250 0    50   ~ 0
 ~RAMEN
 $Comp
 Text Label 4650 5250 0    50   ~ 0
 ~RAMEN
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR013
 U 1 1 5EB3084D
 P 5600 3050
 U 1 1 5EB3084D
 P 5600 3050
-F 0 "#PWR?" H 5600 2900 50  0001 C CNN
+F 0 "#PWR013" H 5600 2900 50  0001 C CNN
 F 1 "VCC" H 5617 3223 50  0000 C CNN
 F 2 "" H 5600 3050 50  0001 C CNN
 F 3 "" H 5600 3050 50  0001 C CNN
 F 1 "VCC" H 5617 3223 50  0000 C CNN
 F 2 "" H 5600 3050 50  0001 C CNN
 F 3 "" H 5600 3050 50  0001 C CNN
@@ -629,10 +627,10 @@ F 3 "" H 5600 3050 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR012
 U 1 1 5EB32548
 P 4550 5300
 U 1 1 5EB32548
 P 4550 5300
-F 0 "#PWR?" H 4550 5150 50  0001 C CNN
+F 0 "#PWR012" H 4550 5150 50  0001 C CNN
 F 1 "VCC" H 4567 5473 50  0000 C CNN
 F 2 "" H 4550 5300 50  0001 C CNN
 F 3 "" H 4550 5300 50  0001 C CNN
 F 1 "VCC" H 4567 5473 50  0000 C CNN
 F 2 "" H 4550 5300 50  0001 C CNN
 F 3 "" H 4550 5300 50  0001 C CNN
@@ -644,10 +642,10 @@ Wire Wire Line
 Wire Wire Line
        4550 5350 4900 5350
 $Comp
 Wire Wire Line
        4550 5350 4900 5350
 $Comp
-L power:GND #PWR?
+L power:GND #PWR014
 U 1 1 5EB37534
 P 5600 5600
 U 1 1 5EB37534
 P 5600 5600
-F 0 "#PWR?" H 5600 5350 50  0001 C CNN
+F 0 "#PWR014" H 5600 5350 50  0001 C CNN
 F 1 "GND" H 5605 5427 50  0000 C CNN
 F 2 "" H 5600 5600 50  0001 C CNN
 F 3 "" H 5600 5600 50  0001 C CNN
 F 1 "GND" H 5605 5427 50  0000 C CNN
 F 2 "" H 5600 5600 50  0001 C CNN
 F 3 "" H 5600 5600 50  0001 C CNN
@@ -655,10 +653,10 @@ F 3 "" H 5600 5600 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:GND #PWR?
+L power:GND #PWR016
 U 1 1 5EB3BBF0
 P 7500 5600
 U 1 1 5EB3BBF0
 P 7500 5600
-F 0 "#PWR?" H 7500 5350 50  0001 C CNN
+F 0 "#PWR016" H 7500 5350 50  0001 C CNN
 F 1 "GND" H 7505 5427 50  0000 C CNN
 F 2 "" H 7500 5600 50  0001 C CNN
 F 3 "" H 7500 5600 50  0001 C CNN
 F 1 "GND" H 7505 5427 50  0000 C CNN
 F 2 "" H 7500 5600 50  0001 C CNN
 F 3 "" H 7500 5600 50  0001 C CNN
@@ -666,10 +664,10 @@ F 3 "" H 7500 5600 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR015
 U 1 1 5EB3BF7A
 P 7500 2400
 U 1 1 5EB3BF7A
 P 7500 2400
-F 0 "#PWR?" H 7500 2250 50  0001 C CNN
+F 0 "#PWR015" H 7500 2250 50  0001 C CNN
 F 1 "VCC" H 7517 2573 50  0000 C CNN
 F 2 "" H 7500 2400 50  0001 C CNN
 F 3 "" H 7500 2400 50  0001 C CNN
 F 1 "VCC" H 7517 2573 50  0000 C CNN
 F 2 "" H 7500 2400 50  0001 C CNN
 F 3 "" H 7500 2400 50  0001 C CNN
@@ -698,10 +696,10 @@ Text Label 6650 4500 0    50   ~ 0
 ~UARTEN
 NoConn ~ 11900 2450
 $Comp
 ~UARTEN
 NoConn ~ 11900 2450
 $Comp
-L z80comp2:z80glue U?
+L z80comp2:z80glue U2
 U 1 1 5EB69DED
 P 3750 1550
 U 1 1 5EB69DED
 P 3750 1550
-F 0 "U?" H 3900 2100 50  0000 C CNN
+F 0 "U2" H 3900 2100 50  0000 C CNN
 F 1 "z80glue" H 3500 1000 50  0000 C CNN
 F 2 "" H 3700 1650 50  0001 C CNN
 F 3 "" H 3700 1650 50  0001 C CNN
 F 1 "z80glue" H 3500 1000 50  0000 C CNN
 F 2 "" H 3700 1650 50  0001 C CNN
 F 3 "" H 3700 1650 50  0001 C CNN
@@ -709,10 +707,10 @@ F 3 "" H 3700 1650 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR08
 U 1 1 5EB7EC44
 P 3750 850
 U 1 1 5EB7EC44
 P 3750 850
-F 0 "#PWR?" H 3750 700 50  0001 C CNN
+F 0 "#PWR08" H 3750 700 50  0001 C CNN
 F 1 "VCC" H 3767 1023 50  0000 C CNN
 F 2 "" H 3750 850 50  0001 C CNN
 F 3 "" H 3750 850 50  0001 C CNN
 F 1 "VCC" H 3767 1023 50  0000 C CNN
 F 2 "" H 3750 850 50  0001 C CNN
 F 3 "" H 3750 850 50  0001 C CNN
@@ -720,10 +718,10 @@ F 3 "" H 3750 850 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:GND #PWR?
+L power:GND #PWR09
 U 1 1 5EB872CE
 P 3750 2250
 U 1 1 5EB872CE
 P 3750 2250
-F 0 "#PWR?" H 3750 2000 50  0001 C CNN
+F 0 "#PWR09" H 3750 2000 50  0001 C CNN
 F 1 "GND" H 3755 2077 50  0000 C CNN
 F 2 "" H 3750 2250 50  0001 C CNN
 F 3 "" H 3750 2250 50  0001 C CNN
 F 1 "GND" H 3755 2077 50  0000 C CNN
 F 2 "" H 3750 2250 50  0001 C CNN
 F 3 "" H 3750 2250 50  0001 C CNN
@@ -828,10 +826,10 @@ Wire Bus Line
        6150 5850 4450 5850
 Connection ~ 4450 5850
 $Comp
        6150 5850 4450 5850
 Connection ~ 4450 5850
 $Comp
-L Device:Crystal_Small Y?
+L Device:Crystal_Small Y1
 U 1 1 5ED63565
 P 6700 5150
 U 1 1 5ED63565
 P 6700 5150
-F 0 "Y?" V 6750 5100 50  0000 R CNN
+F 0 "Y1" V 6750 5100 50  0000 R CNN
 F 1 "3.6864MHz" V 6850 5450 50  0000 R CNN
 F 2 "" H 6700 5150 50  0001 C CNN
 F 3 "~" H 6700 5150 50  0001 C CNN
 F 1 "3.6864MHz" V 6850 5450 50  0000 R CNN
 F 2 "" H 6700 5150 50  0001 C CNN
 F 3 "~" H 6700 5150 50  0001 C CNN
@@ -841,10 +839,10 @@ $EndComp
 Wire Wire Line
        6700 5050 6950 5050
 $Comp
 Wire Wire Line
        6700 5050 6950 5050
 $Comp
-L Device:C_Small C?
+L Device:C_Small C8
 U 1 1 5ED7A206
 P 6700 5400
 U 1 1 5ED7A206
 P 6700 5400
-F 0 "C?" H 6900 5400 50  0000 R CNN
+F 0 "C8" H 6900 5400 50  0000 R CNN
 F 1 "5pF" H 6900 5500 50  0000 R CNN
 F 2 "" H 6700 5400 50  0001 C CNN
 F 3 "~" H 6700 5400 50  0001 C CNN
 F 1 "5pF" H 6900 5500 50  0000 R CNN
 F 2 "" H 6700 5400 50  0001 C CNN
 F 3 "~" H 6700 5400 50  0001 C CNN
@@ -852,10 +850,10 @@ F 3 "~" H 6700 5400 50  0001 C CNN
        1    0    0    1   
 $EndComp
 $Comp
        1    0    0    1   
 $EndComp
 $Comp
-L Device:C_Small C?
+L Device:C_Small C7
 U 1 1 5ED7B05B
 P 6500 5200
 U 1 1 5ED7B05B
 P 6500 5200
-F 0 "C?" H 6700 5200 50  0000 R CNN
+F 0 "C7" H 6700 5200 50  0000 R CNN
 F 1 "15pF" H 6700 5300 50  0000 R CNN
 F 2 "" H 6500 5200 50  0001 C CNN
 F 3 "~" H 6500 5200 50  0001 C CNN
 F 1 "15pF" H 6700 5300 50  0000 R CNN
 F 2 "" H 6500 5200 50  0001 C CNN
 F 3 "~" H 6500 5200 50  0001 C CNN
@@ -878,10 +876,10 @@ Wire Wire Line
 Wire Wire Line
        6500 5600 6500 5300
 $Comp
 Wire Wire Line
        6500 5600 6500 5300
 $Comp
-L Interface_UART:MAX232 U?
+L Interface_UART:MAX232 U7
 U 1 1 5EDB13AC
 P 9450 3900
 U 1 1 5EDB13AC
 P 9450 3900
-F 0 "U?" H 9650 2850 50  0000 C CNN
+F 0 "U7" H 9650 2850 50  0000 C CNN
 F 1 "MAX232" H 9450 4150 50  0000 C CNN
 F 2 "" H 9500 2850 50  0001 L CNN
 F 3 "http://www.ti.com/lit/ds/symlink/max232.pdf" H 9450 4000 50  0001 C CNN
 F 1 "MAX232" H 9450 4150 50  0000 C CNN
 F 2 "" H 9500 2850 50  0001 L CNN
 F 3 "http://www.ti.com/lit/ds/symlink/max232.pdf" H 9450 4000 50  0001 C CNN
@@ -915,10 +913,10 @@ Wire Wire Line
 Wire Wire Line
        8050 2700 8450 2700
 $Comp
 Wire Wire Line
        8050 2700 8450 2700
 $Comp
-L power:GND #PWR?
+L power:GND #PWR020
 U 1 1 5EE75D69
 P 9450 5100
 U 1 1 5EE75D69
 P 9450 5100
-F 0 "#PWR?" H 9450 4850 50  0001 C CNN
+F 0 "#PWR020" H 9450 4850 50  0001 C CNN
 F 1 "GND" H 9455 4927 50  0000 C CNN
 F 2 "" H 9450 5100 50  0001 C CNN
 F 3 "" H 9450 5100 50  0001 C CNN
 F 1 "GND" H 9455 4927 50  0000 C CNN
 F 2 "" H 9450 5100 50  0001 C CNN
 F 3 "" H 9450 5100 50  0001 C CNN
@@ -926,10 +924,10 @@ F 3 "" H 9450 5100 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR019
 U 1 1 5EE7620F
 P 9450 2700
 U 1 1 5EE7620F
 P 9450 2700
-F 0 "#PWR?" H 9450 2550 50  0001 C CNN
+F 0 "#PWR019" H 9450 2550 50  0001 C CNN
 F 1 "VCC" H 9467 2873 50  0000 C CNN
 F 2 "" H 9450 2700 50  0001 C CNN
 F 3 "" H 9450 2700 50  0001 C CNN
 F 1 "VCC" H 9467 2873 50  0000 C CNN
 F 2 "" H 9450 2700 50  0001 C CNN
 F 3 "" H 9450 2700 50  0001 C CNN
@@ -937,10 +935,10 @@ F 3 "" H 9450 2700 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C9
 U 1 1 5EE7734D
 P 8650 3150
 U 1 1 5EE7734D
 P 8650 3150
-F 0 "C?" H 8650 3250 50  0000 L CNN
+F 0 "C9" H 8650 3250 50  0000 L CNN
 F 1 "0.1uF" H 8550 2950 50  0000 L CNN
 F 2 "" H 8688 3000 50  0001 C CNN
 F 3 "~" H 8650 3150 50  0001 C CNN
 F 1 "0.1uF" H 8550 2950 50  0000 L CNN
 F 2 "" H 8688 3000 50  0001 C CNN
 F 3 "~" H 8650 3150 50  0001 C CNN
@@ -948,10 +946,10 @@ F 3 "~" H 8650 3150 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C10
 U 1 1 5EEBDB7E
 P 10250 3150
 U 1 1 5EEBDB7E
 P 10250 3150
-F 0 "C?" H 10100 3250 50  0000 L CNN
+F 0 "C10" H 10100 3250 50  0000 L CNN
 F 1 "0.1uF" H 10000 3050 50  0000 L CNN
 F 2 "" H 10288 3000 50  0001 C CNN
 F 3 "~" H 10250 3150 50  0001 C CNN
 F 1 "0.1uF" H 10000 3050 50  0000 L CNN
 F 2 "" H 10288 3000 50  0001 C CNN
 F 3 "~" H 10250 3150 50  0001 C CNN
@@ -959,10 +957,10 @@ F 3 "~" H 10250 3150 50  0001 C CNN
        -1   0    0    -1  
 $EndComp
 $Comp
        -1   0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C11
 U 1 1 5EEBE0D7
 P 10450 3500
 U 1 1 5EEBE0D7
 P 10450 3500
-F 0 "C?" V 10400 3600 50  0000 L CNN
+F 0 "C11" V 10400 3600 50  0000 L CNN
 F 1 "0.1uF" V 10400 3200 50  0000 L CNN
 F 2 "" H 10488 3350 50  0001 C CNN
 F 3 "~" H 10450 3500 50  0001 C CNN
 F 1 "0.1uF" V 10400 3200 50  0000 L CNN
 F 2 "" H 10488 3350 50  0001 C CNN
 F 3 "~" H 10450 3500 50  0001 C CNN
@@ -974,10 +972,10 @@ Wire Wire Line
 Wire Wire Line
        10250 3800 10300 3800
 $Comp
 Wire Wire Line
        10250 3800 10300 3800
 $Comp
-L Device:C C?
+L Device:C C12
 U 1 1 5EEC6839
 P 10450 3800
 U 1 1 5EEC6839
 P 10450 3800
-F 0 "C?" V 10400 3900 50  0000 L CNN
+F 0 "C12" V 10400 3900 50  0000 L CNN
 F 1 "0.1uF" V 10400 3500 50  0000 L CNN
 F 2 "" H 10488 3650 50  0001 C CNN
 F 3 "~" H 10450 3800 50  0001 C CNN
 F 1 "0.1uF" V 10400 3500 50  0000 L CNN
 F 2 "" H 10488 3650 50  0001 C CNN
 F 3 "~" H 10450 3800 50  0001 C CNN
@@ -985,10 +983,10 @@ F 3 "~" H 10450 3800 50  0001 C CNN
        0    -1   1    0   
 $EndComp
 $Comp
        0    -1   1    0   
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR023
 U 1 1 5EEEF5D1
 P 10800 3400
 U 1 1 5EEEF5D1
 P 10800 3400
-F 0 "#PWR?" H 10800 3250 50  0001 C CNN
+F 0 "#PWR023" H 10800 3250 50  0001 C CNN
 F 1 "VCC" H 10817 3573 50  0000 C CNN
 F 2 "" H 10800 3400 50  0001 C CNN
 F 3 "" H 10800 3400 50  0001 C CNN
 F 1 "VCC" H 10817 3573 50  0000 C CNN
 F 2 "" H 10800 3400 50  0001 C CNN
 F 3 "" H 10800 3400 50  0001 C CNN
@@ -1000,10 +998,10 @@ Wire Wire Line
 Wire Wire Line
        10800 3500 10600 3500
 $Comp
 Wire Wire Line
        10800 3500 10600 3500
 $Comp
-L power:GND #PWR?
+L power:GND #PWR021
 U 1 1 5EEF812A
 P 10700 3850
 U 1 1 5EEF812A
 P 10700 3850
-F 0 "#PWR?" H 10700 3600 50  0001 C CNN
+F 0 "#PWR021" H 10700 3600 50  0001 C CNN
 F 1 "GND" H 10705 3677 50  0000 C CNN
 F 2 "" H 10700 3850 50  0001 C CNN
 F 3 "" H 10700 3850 50  0001 C CNN
 F 1 "GND" H 10705 3677 50  0000 C CNN
 F 2 "" H 10700 3850 50  0001 C CNN
 F 3 "" H 10700 3850 50  0001 C CNN
@@ -1031,10 +1029,10 @@ Text Label 8500 4200 0    50   ~ 0
 Text Label 8500 4400 0    50   ~ 0
 RXA
 $Comp
 Text Label 8500 4400 0    50   ~ 0
 RXA
 $Comp
-L Connector:Conn_01x03_Male J?
+L Connector:Conn_01x03_Male J1
 U 1 1 5F03FE41
 P 8700 4850
 U 1 1 5F03FE41
 P 8700 4850
-F 0 "J?" H 8750 4700 50  0000 R CNN
+F 0 "J1" H 8750 4700 50  0000 R CNN
 F 1 "SERB" H 8800 4600 50  0000 R CNN
 F 2 "" H 8700 4850 50  0001 C CNN
 F 3 "~" H 8700 4850 50  0001 C CNN
 F 1 "SERB" H 8800 4600 50  0000 R CNN
 F 2 "" H 8700 4850 50  0001 C CNN
 F 3 "~" H 8700 4850 50  0001 C CNN
@@ -1056,10 +1054,10 @@ Wire Wire Line
 Wire Wire Line
        8100 4750 8500 4750
 $Comp
 Wire Wire Line
        8100 4750 8500 4750
 $Comp
-L power:GND #PWR?
+L power:GND #PWR018
 U 1 1 5F09F89E
 P 8450 4950
 U 1 1 5F09F89E
 P 8450 4950
-F 0 "#PWR?" H 8450 4700 50  0001 C CNN
+F 0 "#PWR018" H 8450 4700 50  0001 C CNN
 F 1 "GND" H 8455 4777 50  0000 C CNN
 F 2 "" H 8450 4950 50  0001 C CNN
 F 3 "" H 8450 4950 50  0001 C CNN
 F 1 "GND" H 8455 4777 50  0000 C CNN
 F 2 "" H 8450 4950 50  0001 C CNN
 F 3 "" H 8450 4950 50  0001 C CNN
@@ -1067,10 +1065,10 @@ F 3 "" H 8450 4950 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Connector:DB9_Male J?
+L Connector:DB9_Male J2
 U 1 1 5F10B0BC
 P 11000 4600
 U 1 1 5F10B0BC
 P 11000 4600
-F 0 "J?" H 10950 5150 50  0000 L CNN
+F 0 "J2" H 10950 5150 50  0000 L CNN
 F 1 "SERA" H 10900 4050 50  0000 L CNN
 F 2 "" H 11000 4600 50  0001 C CNN
 F 3 " ~" H 11000 4600 50  0001 C CNN
 F 1 "SERA" H 10900 4050 50  0000 L CNN
 F 2 "" H 11000 4600 50  0001 C CNN
 F 3 " ~" H 11000 4600 50  0001 C CNN
@@ -1098,10 +1096,10 @@ Wire Wire Line
 Wire Wire Line
        10300 4700 10700 4700
 $Comp
 Wire Wire Line
        10300 4700 10700 4700
 $Comp
-L power:GND #PWR?
+L power:GND #PWR022
 U 1 1 5F1878FB
 P 10700 5050
 U 1 1 5F1878FB
 P 10700 5050
-F 0 "#PWR?" H 10700 4800 50  0001 C CNN
+F 0 "#PWR022" H 10700 4800 50  0001 C CNN
 F 1 "GND" H 10705 4877 50  0000 C CNN
 F 2 "" H 10700 5050 50  0001 C CNN
 F 3 "" H 10700 5050 50  0001 C CNN
 F 1 "GND" H 10705 4877 50  0000 C CNN
 F 2 "" H 10700 5050 50  0001 C CNN
 F 3 "" H 10700 5050 50  0001 C CNN
@@ -1128,8 +1126,8 @@ Wire Bus Line
        2900 5850 4450 5850
 Connection ~ 6700 5050
 Connection ~ 6700 5600
        2900 5850 4450 5850
 Connection ~ 6700 5050
 Connection ~ 6700 5600
-Text Label 6800 5250 0    50   ~ 0
-CLK
+Text Label 6750 5250 0    50   ~ 0
+UCLK
 Wire Wire Line
        6700 5250 6950 5250
 Connection ~ 7500 5600
 Wire Wire Line
        6700 5250 6950 5250
 Connection ~ 7500 5600
@@ -1138,18 +1136,16 @@ Wire Wire Line
 Text Label 950  4050 0    50   ~ 0
 ~WAIT
 $Comp
 Text Label 950  4050 0    50   ~ 0
 ~WAIT
 $Comp
-L Device:R R?
+L Device:R R3
 U 1 1 5F385448
 P 750 4050
 U 1 1 5F385448
 P 750 4050
-F 0 "R?" V 850 4050 50  0000 L CNN
+F 0 "R3" V 850 4050 50  0000 L CNN
 F 1 "4.7k" V 750 3950 50  0000 L CNN
 F 2 "" V 680 4050 50  0001 C CNN
 F 3 "~" H 750 4050 50  0001 C CNN
        1    750  4050
        0    -1   -1   0   
 $EndComp
 F 1 "4.7k" V 750 3950 50  0000 L CNN
 F 2 "" V 680 4050 50  0001 C CNN
 F 3 "~" H 750 4050 50  0001 C CNN
        1    750  4050
        0    -1   -1   0   
 $EndComp
-Wire Wire Line
-       1200 3150 1050 3150
 Text Label 1050 3150 0    50   ~ 0
 CLK
 Text Label 950  3550 0    50   ~ 0
 Text Label 1050 3150 0    50   ~ 0
 CLK
 Text Label 950  3550 0    50   ~ 0
@@ -1157,10 +1153,10 @@ Text Label 950  3550 0    50   ~ 0
 Wire Wire Line
        1200 3550 900  3550
 $Comp
 Wire Wire Line
        1200 3550 900  3550
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR01
 U 1 1 5F3DA2B2
 P 600 3350
 U 1 1 5F3DA2B2
 P 600 3350
-F 0 "#PWR?" H 600 3200 50  0001 C CNN
+F 0 "#PWR01" H 600 3200 50  0001 C CNN
 F 1 "VCC" H 600 3500 50  0000 C CNN
 F 2 "" H 600 3350 50  0001 C CNN
 F 3 "" H 600 3350 50  0001 C CNN
 F 1 "VCC" H 600 3500 50  0000 C CNN
 F 2 "" H 600 3350 50  0001 C CNN
 F 3 "" H 600 3350 50  0001 C CNN
@@ -1168,10 +1164,10 @@ F 3 "" H 600 3350 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:R R?
+L Device:R R2
 U 1 1 5F3D9F65
 P 750 3550
 U 1 1 5F3D9F65
 P 750 3550
-F 0 "R?" V 850 3550 50  0000 L CNN
+F 0 "R2" V 850 3550 50  0000 L CNN
 F 1 "4.7k" V 750 3450 50  0000 L CNN
 F 2 "" V 680 3550 50  0001 C CNN
 F 3 "~" H 750 3550 50  0001 C CNN
 F 1 "4.7k" V 750 3450 50  0000 L CNN
 F 2 "" V 680 3550 50  0001 C CNN
 F 3 "~" H 750 3550 50  0001 C CNN
@@ -1184,10 +1180,10 @@ Connection ~ 600  3550
 Wire Wire Line
        600  3550 600  4050
 $Comp
 Wire Wire Line
        600  3550 600  4050
 $Comp
-L Device:C C?
+L Device:C C1
 U 1 1 5F442E26
 P 1850 7150
 U 1 1 5F442E26
 P 1850 7150
-F 0 "C?" H 1900 7250 50  0000 L CNN
+F 0 "C1" H 1900 7250 50  0000 L CNN
 F 1 "0.1uF" H 1900 7050 50  0000 L CNN
 F 2 "" H 1888 7000 50  0001 C CNN
 F 3 "~" H 1850 7150 50  0001 C CNN
 F 1 "0.1uF" H 1900 7050 50  0000 L CNN
 F 2 "" H 1888 7000 50  0001 C CNN
 F 3 "~" H 1850 7150 50  0001 C CNN
@@ -1195,10 +1191,10 @@ F 3 "~" H 1850 7150 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C2
 U 1 1 5F444327
 P 2150 7150
 U 1 1 5F444327
 P 2150 7150
-F 0 "C?" H 2200 7250 50  0000 L CNN
+F 0 "C2" H 2200 7250 50  0000 L CNN
 F 1 "0.1uF" H 2200 7050 50  0000 L CNN
 F 2 "" H 2188 7000 50  0001 C CNN
 F 3 "~" H 2150 7150 50  0001 C CNN
 F 1 "0.1uF" H 2200 7050 50  0000 L CNN
 F 2 "" H 2188 7000 50  0001 C CNN
 F 3 "~" H 2150 7150 50  0001 C CNN
@@ -1206,10 +1202,10 @@ F 3 "~" H 2150 7150 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C3
 U 1 1 5F444547
 P 2450 7150
 U 1 1 5F444547
 P 2450 7150
-F 0 "C?" H 2500 7250 50  0000 L CNN
+F 0 "C3" H 2500 7250 50  0000 L CNN
 F 1 "0.1uF" H 2500 7050 50  0000 L CNN
 F 2 "" H 2488 7000 50  0001 C CNN
 F 3 "~" H 2450 7150 50  0001 C CNN
 F 1 "0.1uF" H 2500 7050 50  0000 L CNN
 F 2 "" H 2488 7000 50  0001 C CNN
 F 3 "~" H 2450 7150 50  0001 C CNN
@@ -1217,10 +1213,10 @@ F 3 "~" H 2450 7150 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C4
 U 1 1 5F444841
 P 2750 7150
 U 1 1 5F444841
 P 2750 7150
-F 0 "C?" H 2800 7250 50  0000 L CNN
+F 0 "C4" H 2800 7250 50  0000 L CNN
 F 1 "0.1uF" H 2800 7050 50  0000 L CNN
 F 2 "" H 2788 7000 50  0001 C CNN
 F 3 "~" H 2750 7150 50  0001 C CNN
 F 1 "0.1uF" H 2800 7050 50  0000 L CNN
 F 2 "" H 2788 7000 50  0001 C CNN
 F 3 "~" H 2750 7150 50  0001 C CNN
@@ -1228,10 +1224,10 @@ F 3 "~" H 2750 7150 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C5
 U 1 1 5F444B0C
 P 3050 7150
 U 1 1 5F444B0C
 P 3050 7150
-F 0 "C?" H 3100 7250 50  0000 L CNN
+F 0 "C5" H 3100 7250 50  0000 L CNN
 F 1 "0.1uF" H 3100 7050 50  0000 L CNN
 F 2 "" H 3088 7000 50  0001 C CNN
 F 3 "~" H 3050 7150 50  0001 C CNN
 F 1 "0.1uF" H 3100 7050 50  0000 L CNN
 F 2 "" H 3088 7000 50  0001 C CNN
 F 3 "~" H 3050 7150 50  0001 C CNN
@@ -1239,10 +1235,10 @@ F 3 "~" H 3050 7150 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L Device:C C?
+L Device:C C6
 U 1 1 5F444DC1
 P 3350 7150
 U 1 1 5F444DC1
 P 3350 7150
-F 0 "C?" H 3400 7250 50  0000 L CNN
+F 0 "C6" H 3400 7250 50  0000 L CNN
 F 1 "0.1uF" H 3400 7050 50  0000 L CNN
 F 2 "" H 3388 7000 50  0001 C CNN
 F 3 "~" H 3350 7150 50  0001 C CNN
 F 1 "0.1uF" H 3400 7050 50  0000 L CNN
 F 2 "" H 3388 7000 50  0001 C CNN
 F 3 "~" H 3350 7150 50  0001 C CNN
@@ -1250,10 +1246,10 @@ F 3 "~" H 3350 7150 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:GND #PWR?
+L power:GND #PWR06
 U 1 1 5F4451AA
 P 2600 7300
 U 1 1 5F4451AA
 P 2600 7300
-F 0 "#PWR?" H 2600 7050 50  0001 C CNN
+F 0 "#PWR06" H 2600 7050 50  0001 C CNN
 F 1 "GND" H 2605 7127 50  0000 C CNN
 F 2 "" H 2600 7300 50  0001 C CNN
 F 3 "" H 2600 7300 50  0001 C CNN
 F 1 "GND" H 2605 7127 50  0000 C CNN
 F 2 "" H 2600 7300 50  0001 C CNN
 F 3 "" H 2600 7300 50  0001 C CNN
@@ -1261,10 +1257,10 @@ F 3 "" H 2600 7300 50  0001 C CNN
        1    0    0    -1  
 $EndComp
 $Comp
        1    0    0    -1  
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR05
 U 1 1 5F4467BC
 P 2600 7000
 U 1 1 5F4467BC
 P 2600 7000
-F 0 "#PWR?" H 2600 6850 50  0001 C CNN
+F 0 "#PWR05" H 2600 6850 50  0001 C CNN
 F 1 "VCC" H 2600 7150 50  0000 C CNN
 F 2 "" H 2600 7000 50  0001 C CNN
 F 3 "" H 2600 7000 50  0001 C CNN
 F 1 "VCC" H 2600 7150 50  0000 C CNN
 F 2 "" H 2600 7000 50  0001 C CNN
 F 3 "" H 2600 7000 50  0001 C CNN
@@ -1306,10 +1302,10 @@ Connection ~ 3050 7300
 Wire Wire Line
        3050 7300 3350 7300
 $Comp
 Wire Wire Line
        3050 7300 3350 7300
 $Comp
-L Device:R R?
+L Device:R R1
 U 1 1 5F463EA2
 P 750 3450
 U 1 1 5F463EA2
 P 750 3450
-F 0 "R?" V 850 3450 50  0000 L CNN
+F 0 "R1" V 850 3450 50  0000 L CNN
 F 1 "4.7k" V 750 3350 50  0000 L CNN
 F 2 "" V 680 3450 50  0001 C CNN
 F 3 "~" H 750 3450 50  0001 C CNN
 F 1 "4.7k" V 750 3350 50  0000 L CNN
 F 2 "" V 680 3450 50  0001 C CNN
 F 3 "~" H 750 3450 50  0001 C CNN
@@ -1324,10 +1320,10 @@ Wire Wire Line
 Text Label 950  3450 0    50   ~ 0
 ~NMI
 $Comp
 Text Label 950  3450 0    50   ~ 0
 ~NMI
 $Comp
-L 74xx:74LS05 U?
+L 74xx:74LS05 U5
 U 1 1 5F4A99C1
 P 7450 6200
 U 1 1 5F4A99C1
 P 7450 6200
-F 0 "U?" H 7450 6050 50  0000 C CNN
+F 0 "U5" H 7450 6050 50  0000 C CNN
 F 1 "74LS05" H 7550 6350 50  0000 C CNN
 F 2 "" H 7450 6200 50  0001 C CNN
 F 3 "http://www.ti.com/lit/gpn/sn74LS05" H 7450 6200 50  0001 C CNN
 F 1 "74LS05" H 7550 6350 50  0000 C CNN
 F 2 "" H 7450 6200 50  0001 C CNN
 F 3 "http://www.ti.com/lit/gpn/sn74LS05" H 7450 6200 50  0001 C CNN
@@ -1335,10 +1331,10 @@ F 3 "http://www.ti.com/lit/gpn/sn74LS05" H 7450 6200 50  0001 C CNN
        -1   0    0    1   
 $EndComp
 $Comp
        -1   0    0    1   
 $EndComp
 $Comp
-L Diode:1N4148 D?
+L Diode:1N4148 D1
 U 1 1 5F4AD646
 P 8200 6200
 U 1 1 5F4AD646
 P 8200 6200
-F 0 "D?" H 8200 5984 50  0000 C CNN
+F 0 "D1" H 8200 5984 50  0000 C CNN
 F 1 "1N4148" H 8200 6075 50  0000 C CNN
 F 2 "Diode_THT:D_DO-35_SOD27_P7.62mm_Horizontal" H 8200 6025 50  0001 C CNN
 F 3 "https://assets.nexperia.com/documents/data-sheet/1N4148_1N4448.pdf" H 8200 6200 50  0001 C CNN
 F 1 "1N4148" H 8200 6075 50  0000 C CNN
 F 2 "Diode_THT:D_DO-35_SOD27_P7.62mm_Horizontal" H 8200 6025 50  0001 C CNN
 F 3 "https://assets.nexperia.com/documents/data-sheet/1N4148_1N4448.pdf" H 8200 6200 50  0001 C CNN
@@ -1346,10 +1342,10 @@ F 3 "https://assets.nexperia.com/documents/data-sheet/1N4148_1N4448.pdf" H 8200
        -1   0    0    1   
 $EndComp
 $Comp
        -1   0    0    1   
 $EndComp
 $Comp
-L Device:R R?
+L Device:R R4
 U 1 1 5F4AE323
 P 7900 6050
 U 1 1 5F4AE323
 P 7900 6050
-F 0 "R?" H 7830 6004 50  0000 R CNN
+F 0 "R4" H 7830 6004 50  0000 R CNN
 F 1 "4k7" H 7830 6095 50  0000 R CNN
 F 2 "" V 7830 6050 50  0001 C CNN
 F 3 "~" H 7900 6050 50  0001 C CNN
 F 1 "4k7" H 7830 6095 50  0000 R CNN
 F 2 "" V 7830 6050 50  0001 C CNN
 F 3 "~" H 7900 6050 50  0001 C CNN
@@ -1357,10 +1353,10 @@ F 3 "~" H 7900 6050 50  0001 C CNN
        1    0    0    1   
 $EndComp
 $Comp
        1    0    0    1   
 $EndComp
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR017
 U 1 1 5F4B4193
 P 7900 5900
 U 1 1 5F4B4193
 P 7900 5900
-F 0 "#PWR?" H 7900 5750 50  0001 C CNN
+F 0 "#PWR017" H 7900 5750 50  0001 C CNN
 F 1 "VCC" H 7917 6073 50  0000 C CNN
 F 2 "" H 7900 5900 50  0001 C CNN
 F 3 "" H 7900 5900 50  0001 C CNN
 F 1 "VCC" H 7917 6073 50  0000 C CNN
 F 2 "" H 7900 5900 50  0001 C CNN
 F 3 "" H 7900 5900 50  0001 C CNN
@@ -1401,10 +1397,10 @@ Wire Wire Line
 Text Notes 3850 2150 0    50   ~ 0
 GAL16V8: glue.pld
 $Comp
 Text Notes 3850 2150 0    50   ~ 0
 GAL16V8: glue.pld
 $Comp
-L power:VCC #PWR?
+L power:VCC #PWR02
 U 1 1 5F6A583C
 P 1200 5150
 U 1 1 5F6A583C
 P 1200 5150
-F 0 "#PWR?" H 1200 5000 50  0001 C CNN
+F 0 "#PWR02" H 1200 5000 50  0001 C CNN
 F 1 "VCC" H 1217 5323 50  0000 C CNN
 F 2 "" H 1200 5150 50  0001 C CNN
 F 3 "" H 1200 5150 50  0001 C CNN
 F 1 "VCC" H 1217 5323 50  0000 C CNN
 F 2 "" H 1200 5150 50  0001 C CNN
 F 3 "" H 1200 5150 50  0001 C CNN
@@ -1427,6 +1423,223 @@ Wire Wire Line
        6950 4700 6750 4700
 Text Label 6750 4700 0    50   ~ 0
 ~IACK
        6950 4700 6750 4700
 Text Label 6750 4700 0    50   ~ 0
 ~IACK
+$Comp
+L 74xx:74HC14 U8
+U 1 1 5F7B3414
+P 750 2400
+F 0 "U8" V 550 2400 50  0000 L CNN
+F 1 "74HC14" H 750 2250 50  0000 L CNN
+F 2 "" H 750 2400 50  0001 C CNN
+F 3 "http://www.ti.com/lit/gpn/sn74HC14" H 750 2400 50  0001 C CNN
+       1    750  2400
+       0    1    1    0   
+$EndComp
+Wire Wire Line
+       750  2700 750  3150
+Wire Wire Line
+       750  3150 1200 3150
+Wire Wire Line
+       750  2100 750  1850
+Text Label 750  2050 1    50   ~ 0
+UCLK
+Wire Wire Line
+       1200 1650 1250 1650
+$Comp
+L power:VCC #PWR025
+U 1 1 5F97FBA6
+P 1200 1650
+F 0 "#PWR025" H 1200 1500 50  0001 C CNN
+F 1 "VCC" H 1200 1800 50  0000 C CNN
+F 2 "" H 1200 1650 50  0001 C CNN
+F 3 "" H 1200 1650 50  0001 C CNN
+       1    1200 1650
+       1    0    0    -1  
+$EndComp
+Wire Wire Line
+       650  1350 650  1250
+$Comp
+L power:GND #PWR024
+U 1 1 5F96EC3C
+P 650 1350
+F 0 "#PWR024" H 650 1100 50  0001 C CNN
+F 1 "GND" H 655 1177 50  0000 C CNN
+F 2 "" H 650 1350 50  0001 C CNN
+F 3 "" H 650 1350 50  0001 C CNN
+       1    650  1350
+       1    0    0    -1  
+$EndComp
+Connection ~ 1100 1250
+Wire Wire Line
+       1050 1250 1100 1250
+$Comp
+L Switch:SW_Push SW1
+U 1 1 5F933400
+P 850 1250
+F 0 "SW1" H 850 1535 50  0000 C CNN
+F 1 "RESET" H 850 1444 50  0000 C CNN
+F 2 "" H 850 1450 50  0001 C CNN
+F 3 "~" H 850 1450 50  0001 C CNN
+       1    850  1250
+       1    0    0    -1  
+$EndComp
+Connection ~ 1750 1050
+Wire Wire Line
+       1400 1050 1750 1050
+Wire Wire Line
+       1400 950  1400 1050
+Wire Wire Line
+       1100 950  1400 950 
+Wire Wire Line
+       1100 1250 1250 1250
+$Comp
+L Device:R R6
+U 1 1 5F901EA0
+P 1100 1100
+F 0 "R6" H 1170 1146 50  0000 L CNN
+F 1 "1M" V 1100 1050 50  0000 L CNN
+F 2 "" V 1030 1100 50  0001 C CNN
+F 3 "~" H 1100 1100 50  0001 C CNN
+       1    1100 1100
+       1    0    0    -1  
+$EndComp
+NoConn ~ 1250 1450
+$Comp
+L power:VCC #PWR028
+U 1 1 5F8CF8A4
+P 2600 1150
+F 0 "#PWR028" H 2600 1000 50  0001 C CNN
+F 1 "VCC" H 2617 1323 50  0000 C CNN
+F 2 "" H 2600 1150 50  0001 C CNN
+F 3 "" H 2600 1150 50  0001 C CNN
+       1    2600 1150
+       1    0    0    -1  
+$EndComp
+Text Label 2250 1250 0    50   ~ 0
+RST
+Wire Wire Line
+       2250 1250 2450 1250
+Wire Wire Line
+       2600 1850 1750 1850
+Wire Wire Line
+       2250 1650 2600 1650
+Connection ~ 2600 1450
+Wire Wire Line
+       2600 1450 2600 1650
+$Comp
+L Device:C_Small C13
+U 1 1 5F880397
+P 2600 1750
+F 0 "C13" H 2509 1704 50  0000 R CNN
+F 1 "0.1uF" H 2509 1795 50  0000 R CNN
+F 2 "" H 2600 1750 50  0001 C CNN
+F 3 "~" H 2600 1750 50  0001 C CNN
+       1    2600 1750
+       1    0    0    1   
+$EndComp
+Wire Wire Line
+       2250 1450 2600 1450
+$Comp
+L Device:R R7
+U 1 1 5F8611FE
+P 2600 1300
+F 0 "R7" H 2650 1350 50  0000 L CNN
+F 1 "9.1k" V 2600 1200 50  0000 L CNN
+F 2 "" V 2530 1300 50  0001 C CNN
+F 3 "~" H 2600 1300 50  0001 C CNN
+       1    2600 1300
+       1    0    0    -1  
+$EndComp
+$Comp
+L power:VCC #PWR026
+U 1 1 5F860836
+P 1750 1050
+F 0 "#PWR026" H 1750 900 50  0001 C CNN
+F 1 "VCC" H 1767 1223 50  0000 C CNN
+F 2 "" H 1750 1050 50  0001 C CNN
+F 3 "" H 1750 1050 50  0001 C CNN
+       1    1750 1050
+       1    0    0    -1  
+$EndComp
+$Comp
+L power:GND #PWR027
+U 1 1 5F860553
+P 1750 1850
+F 0 "#PWR027" H 1750 1600 50  0001 C CNN
+F 1 "GND" H 1755 1677 50  0000 C CNN
+F 2 "" H 1750 1850 50  0001 C CNN
+F 3 "" H 1750 1850 50  0001 C CNN
+       1    1750 1850
+       1    0    0    -1  
+$EndComp
+$Comp
+L Timer:LM555 U9
+U 1 1 5F85DCB5
+P 1750 1450
+F 0 "U9" H 1900 1800 50  0000 C CNN
+F 1 "LM555" H 1750 1450 50  0000 C CNN
+F 2 "" H 1750 1450 50  0001 C CNN
+F 3 "http://www.ti.com/lit/ds/symlink/lm555.pdf" H 1750 1450 50  0001 C CNN
+       1    1750 1450
+       1    0    0    -1  
+$EndComp
+$Comp
+L 74xx:74LS05 U10
+U 1 1 5F9CB042
+P 2350 800
+F 0 "U10" H 2350 650 50  0000 C CNN
+F 1 "74LS05" H 2450 950 50  0000 C CNN
+F 2 "" H 2350 800 50  0001 C CNN
+F 3 "http://www.ti.com/lit/gpn/sn74LS05" H 2350 800 50  0001 C CNN
+       1    2350 800 
+       1    0    0    -1  
+$EndComp
+Wire Wire Line
+       2050 800  2050 1050
+Wire Wire Line
+       2050 1050 2450 1050
+Wire Wire Line
+       2450 1050 2450 1250
+Text Label 2750 800  0    50   ~ 0
+~RST
+$Comp
+L Device:R R5
+U 1 1 5FA0761C
+P 950 2700
+F 0 "R5" H 1020 2746 50  0000 L CNN
+F 1 "4.7k" V 950 2600 50  0000 L CNN
+F 2 "" V 880 2700 50  0001 C CNN
+F 3 "~" H 950 2700 50  0001 C CNN
+       1    950  2700
+       1    0    0    -1  
+$EndComp
+Text Notes 1250 700  0    50   ~ 0
+Power-on reset
+Wire Wire Line
+       2650 800  2900 800 
+Wire Wire Line
+       950  2850 1200 2850
+Wire Wire Line
+       950  2550 1900 2550
+Connection ~ 1900 2550
+Connection ~ 2600 1650
+Connection ~ 1750 1850
+$Comp
+L Device:C_Small C?
+U 1 1 5FC80F1C
+P 1100 1700
+F 0 "C?" H 1009 1654 50  0000 R CNN
+F 1 "0.1uF" H 1009 1745 50  0000 R CNN
+F 2 "" H 1100 1700 50  0001 C CNN
+F 3 "~" H 1100 1700 50  0001 C CNN
+       1    1100 1700
+       1    0    0    1   
+$EndComp
+Wire Wire Line
+       1100 1800 1100 1850
+Wire Wire Line
+       1100 1850 1750 1850
+Wire Wire Line
+       1100 1600 1100 1250
 Wire Bus Line
        6500 2600 6500 3200
 Wire Bus Line
 Wire Bus Line
        6500 2600 6500 3200
 Wire Bus Line